自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(30)
  • 收藏
  • 关注

原创 提升敲代码效率:SublimeLinter+iverilog实现代码语法检查

使用sublime中的sublimeLinter插件和iverilog实现代码语法纠错

2023-09-09 12:31:46 1452

原创 FPGA实现数字、字母显示

在PL端使用纯verilog实现bitmap模块,基于该模块实现在tft屏幕显示数字0-9,以及FPGA字母

2023-07-18 12:32:15 1033

原创 FPGA实现IIC驱动环境光、距离传感器

FPGA实现IIC驱动环境光、距离传感器,按键切换显示环境光、距离数据,数据通过数码管显示

2023-07-16 16:33:49 814

原创 HDLBits刷题笔记9:Circuits.Sequential Logic.Counters + Shift Registers

HDLBits刷题网站中,Circuits章节下Sequential Logic子章节的Counters小节和Shift Registers小节的题目和答案

2023-07-05 11:34:06 679

原创 HDLBits刷题笔记8:Circuits.Sequential Logic.Latches and Flip-Flops

HDLBits刷题网站中,Circuit章节中Sequential Logical子章节下的Latch and Filp-Flops小节的题目与答案,触发器和锁存器相关题目,题量较大,但难度不高

2023-07-03 16:42:07 985

原创 HDLBits刷题笔记7:Circuits.Combinational Logic.Karnaugh Map to Circuit

HDLBits刷题网站下,Circuits章节下Combinational Logic子章节下的Karnaugh Map to Circuit小节的题目与答案

2023-07-01 09:45:10 161

原创 HDLBits笔记6:Circuits.Combinational Logic.Multiplexers + Arithmetic Circuits

HDLBits网站下,Circuits章节下Combinational Logic子章节的Multiplexers小节和Arithmetic Circuits小节的题目与答案

2023-06-23 22:54:26 512

原创 HDLBits笔记5:Circuits.Combinational Logic.Basic gates

HDLBits网站下,Circuit章节下、Combinational子章节下Basic gates小节的题目与答案

2023-06-22 21:49:05 516

原创 HDLBits自学笔记4:Verilog language.Procedures + More Verilog Features

HDLBits网站下,Verilog language章节下,Procedures子章节和More Verilog Features子章节的题目与答案

2023-06-21 09:01:06 704

原创 HDLBits自学笔记3:Verilog language.Modules Hierarchy

HDLBits刷题网站,Verilog language章节下Module Hierarchy子章节的题目与答案

2023-06-20 16:12:51 930 1

原创 HDLBits自学笔记2:Verilog language.Vector

HDLBits刷题网站下,Verilog language章节下Vector子章节的题目描述和答案

2023-06-19 09:07:06 167

原创 HDLBits自学笔记1:Getting Started + Verilog language.Basic

verilog刷题网站HDLBits的自学笔记1,本篇包含Getting Started和Verilog language.Basic章节的题目以及答案

2023-06-16 11:17:44 88

原创 verilog实现二进制转BCD码-加3移位法

使用加三移位法实现10bit二进制数转BCD码,绘制RTL图,编写RTL代码以及tb,并仿真验证

2023-05-05 11:23:59 2605

原创 FPGA实现uart协议

使用verilog实现uart协议,能够和pc进行通信,实现串口回环功能

2022-10-07 11:11:11 1266

原创 FPGA驱动74HC595实现数码管动态显示

FPGA驱动74HC595实现数码管动态显示,编写74hc595驱动以及顶层驱动,只需传入6个4位bcd码即可实现数码管的动态显示。

2022-09-30 10:49:06 6369 1

原创 FPGA图像处理-直方图均衡化

直方图均衡化分为真均衡化和伪均衡化,由于FPGA不方便实现真均衡化,所以采用伪均衡化,即前一帧的图像进行统计、帧间隙进行累计和与归一化、当前帧做归一化后的映射输出。

2022-08-02 15:48:34 3679 11

原创 FPGA图像处理-腐蚀膨胀

形态学滤波最基本的操作是腐蚀和膨胀。腐蚀可以消除边界点,使边界向内部收缩,可以消除无意义的小物体,膨胀和腐蚀相反,可以让图像的边界变粗

2022-07-14 10:32:14 2723 3

原创 FPGA图像处理-sobel边缘检测

串口传图进FPGA,对图片进行灰度化后进行sobel边缘检测,再通过vga显示。

2022-06-04 15:58:46 1420 10

原创 FPGA图像处理-中值滤波

中值滤波常用于去除图像的噪声,对椒盐噪声比较有效。使用verilog以流水线方式进行中值滤波,并用modelsim仿真。

2022-06-02 17:11:02 3153 3

原创 FPGA图像处理-均值滤波

使用3x3卷积模板来实现均值滤波算法,三级流水线,用modelsim仿真验证其正确性。

2022-06-01 15:14:30 1605 7

原创 FPGA图像处理-3x3卷积模板

3x3卷积是FPGA图像处理中很重要的一个概念,本文介绍使用行缓存实现3x3卷积模板,并编写testbench对其进行仿真,对波形进行分析。

2022-05-31 20:16:54 7448 16

原创 FPGA图像处理-灰度化

用verilog实现彩色图像的灰度化算法,并进行Modelsim仿真

2022-05-30 16:51:15 4434 12

原创 win10下使用iverilog仿真+gtkwave/WaveDrom查看波形

在win10环境下,使用iverilog进行简单的verilog编译仿真,使用gtkwave和WaveDrom查看仿真波形。

2022-05-13 16:58:04 6825 8

原创 基于FPGA的串口传图SDRAM缓存VGA显示

在DE2开发板上,使用串口接收PC上的Qt程序发送的640*480彩色图片,以RGB565格式存入SDRAM,通过VGA显示在屏幕上。

2022-05-12 21:41:45 2042 6

原创 基于FPGA的串口传图SRAM缓存VGA显示

在DE2开发板上使用串口接收PC发送的640*480分辨率灰度图,存入SRAM,通过VGA进行显示。开发板:DE2型号:EP2C35F672C6开发工具:Quartus II 13.0 + Modelsim 10.5 SE

2022-03-24 12:02:00 7640 3

原创 基于FPGA的串口接收lcd1602显示

基于FPGA的串口接收lcd1602显示,在DE2开发板上验证其功能

2022-03-17 10:02:17 9397 7

原创 提升敲代码效率:sublime Verilog Gadget插件

使用sublime插件verilog Gadget提升敲代码效率

2022-03-10 18:29:01 2435 5

原创 OpenCL环境搭建、获取平台设备信息

1、环境搭建去NVIDIA官网下载CUDA,只需要下载CUDA一项即可,里面包含有opencl库。2、获取平台设备信息OpenCL的api文档可以在OpenCL官网找到,不过比较难找,这里我放个链接OpenCL 3.0 Reference Pages由于intel cpu的信息比较长,有41个字符,所以device字符串指针分配了50个字符空间,如果你的设备信息较长,可以再多分配点。#include <CL/cl.h>#include <iostream>

2021-10-27 15:59:17 1636 9

原创 Quartus II 13.0无modelsim进行仿真(用自带仿真器)

网上都说9.0后面的版本不带仿真功能,但我发现13.0还是有自带仿真。接下来我用Quartus II 13.0进行一次简单的仿真:1、新建文件夹test作为工程目录2、打开Quartus,选择file -> new project wizardpage 2 of 5 跳过,我的板子型号如下,不过仿真而已,随便选个也行后面page 4 5 都跳过3、file -> new -> Verilog HDL file,新建一个Verilog文件,随便写个2选1选..

2021-08-05 21:58:10 13706 20

原创 vscode中c++环境搭建与多文件编译运行

萌新的vscode初体验vscode配置c++环境单文件编译多文件编译vscode配置c++环境下载安装vscode稳定版在扩展里安装chinese和c++intellisense我使用的c++编译环境是Qt带的mingw64将example\QT\Tools\mingw730_64\bin添加到环境变量path中就可以在vscode中愉快的使用c++了单文件编译经典helloworld.cpp#include <iostream>int main(){ std::

2020-08-21 17:23:23 718

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除