2021-06-26

1.实验目的:
下载Quartur ii软件和modlsim并进行联合仿真。

2.实验内容:
参照书本上的代码,然后用quartus ii和modlsim进行联合仿真

3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。
在这里插入图片描述:
在这里插入图片描述
在这里插入图片描述

4实验工具:
pc机和Quartur ii软件和modlsim软件。

5.实验截图:
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

6.实验视频:

请下载哔哩哔哩动画打开此网址:
https://www.bilibili.com/video/BV1RV411s7D7
【数字系统设计及仿真第十一章实验三-哔哩哔哩】
7.实验代码:
module decoder3x8(Yn,S1,S2n,S3n,A);
input S1,S2n,S3n;
input [2:0] A;
output [7:0] Yn;

wire S2,S3;
wire A0n,A1n,A2n;

assign S2=~S2n;
assign S3=~S3n;
assign S=S1&S2&S3;

assign A0n=~A[0];
assign A1n=~A[1];
assign A2n=~A[2];

assign Yn[0]=~(A0n & A1n & A2n & S);
assign Yn[1]=~(A[0] & A1n & A2n & S);
assign Yn[2]=~(A0n & A[1] & A2n & S);
assign Yn[3]=~(A[0] & A[1] & A2n & S);
assign Yn[4]=~(A0n & A1n & A[2] & S);
assign Yn[5]=~(A[0] & A1n & A[2] & S);
assign Yn[6]=~(A0n & A[1] & A[2] & S);
assign Yn[7]=~(A[0] & A[1] & A[2] & S);

endmodule
8.软件下载网站:
1.复制这段内容后打开百度网盘App,操作更方便哦。 链接:https://pan.baidu.com/s/1ZT0ovNAAZ_j3jTGzVCYfbQ
提取码:5jf2
2.复制这段内容后打开百度网盘App,操作更方便哦。 链接:https://pan.baidu.com/s/1bkD2-5Gwl1HB6y9hkUF14A 提取码:540y
————————————————
版权声明:本文为CSDN博主「BMM111」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/miludeboke/article/details/118226294

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值