verilog 设计与综合实验报告

题目1: 设计一个 8 位字节比较器

1、设计方案

     输入为时钟i_clk,复位i_rst,a,b;输出为re,reb,eq。使用组合逻辑,always语句块

2、程序代码

2.程序代码
`timescale 1ns/1ns
module compare_8bit(
	input i_clk,
	input i_rst,
	input [7:0]a,
	input [7:0]b,
	output  reg re,
	output reg reb,
	output reg eq

);
always@(*)begin
	if(i_rst==1'b1)begin
		re = 'd0;
		reb = 'd0;
		eq = 'd0;end
	else if(a>b)begin
		re = 'd1;
		reb = 'd0;
		eq = 'd0;end
	else if(a<b)begin
		re = 'd0;
		reb = 'd1;
		eq = 'd0;end
	else if(a==b)begin
		re = 'd0;
		reb = 'd0;
		eq = 'd1;end
	else begin
		re = re;
		reb = reb;
		eq = eq;end
end
endmodule
3.仿真测试
`timescale 1ns/1ns
module compare_8bit_tb();
reg i_clk;
reg i_rst;
reg [7:0]a,b;
wire re,reb,eq;
compare_8bit compare_8bit(
	.i_clk(i_clk),
	.i_rst(i_rst),
	.a(a),
	.b(b),
	.re(re),
	.reb(reb),
	.eq(eq)

);
always #50 i_clk = ~i_clk;
initial begin
	i_clk = 0;
	i_rst = 1;
	#200
	i_rst = 0;	
	a = 8'd100;
	b = 8'd25;
	#300
	a = 8'd3;
	b = 8'd75;
	#400
	a = 8'd98;
	b = 8'd98;
	#200
    $stop;
	end
endmodule

4、结果分析 

输入都为8bit,200ns时,a=100,b=25,则re = 1; 500ns时,a=3,b=75,则reb = 1;

 900ns时,a=98,b=98,则eq = 1;

 

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

积极向@

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值