自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 资源 (10)
  • 收藏
  • 关注

原创 stm32单片机确定好不好使方法

不管是多么简单的最小系统,上面基本上除了电源指示灯以外都会有一个其他的指示灯,就比如PC13  PC15之类的。在开发的过程中,我们很多时候要例行检查一下,烧进去没有啊,烧进去之后运煤运行啊,单片机能不能正常工作啊?好了,我们只需要初始化PC13  或者 15  ,让他输出高低高低,就行了,看看能不能看到闪烁,有的话就是单片机没问题,不好使的话,就闷头该程序把。测试过的代码在下面,代码不难...

2018-07-31 17:08:10 2467

转载 stm32f030烧录一次程序就不能检测到解决方法

这几天在做一个小项目,需要用到stm32f0系列的单片机,画好的板子引出swd,方便调试和烧写程序,可是第一次swd烧写程序后,想再次烧写,发现keil里始终找不到设备,而且我的程序里也并没有复用swd的两个引脚,很是疑惑。上网找了很多方法,我试了几个发现,只有一个对我这个情况有用。步骤:将单片机reset脚拉低,keil即可找到设备,此时将reset脚拉高,进行swd烧写,成功。 ...

2018-07-18 09:02:57 10133 7

原创 ads1115 IIC接口 stm32 解决

       #include "stm32f0xx.h"#define WR_REG 0x90#define RE_REG 0x91/***********************************??????**********************************************/#define DATA_RE...

2018-07-15 20:08:46 3833

原创 stm32菜单设计——面向对象——结构体化

很多朋友在编写单片机的时候都会涉及到液晶的显示,如果独立开发一个系统的话,必然也就会涉及到界面化,有很多的朋友嫌麻烦,会采用不断检测按键,之后按下这个就显示这一张界面,哪一张就显示哪一个,但是事实上程序小的时候问题不大,程序一旦变得很大,界面很多,跳来跳去就会令人十分头疼,MRLIU在这里学习了一种有点面向对象的意思的程序,具体内容如下#include "menu2.h"#include"rat...

2018-07-12 09:04:40 1152

原创 关于 stm32f103 JLX12864液晶显示的小错误

        void Draw8x16(u8 page,u8 column,u8 *dp){ u8 i,j; for(j=0;j<1;j++) { SetAddress(page+j+1,column); for (i=0 ; i < 8 ; i++) { LcdWriteData(*dp); /*????LCD,?????8 ????????...

2018-07-09 10:59:27 1567

kmod-fs-autofs4_3.18.29-1_ramips_24kec.ipk

对于某些openwrt版本,安装mountd的一个必要安装包

2021-02-12

ModuleEase.exe

以前在例化一个module的时候,总需要手动修改,手动排版,使用作者写的小工具能避免这种繁琐!选择模块声明,ctrl + c,再按下ModuleEase组合键,ctrl + v,就能出现整齐的例化!参照: https://blog.csdn.net/Mr_liu_666/article/details/103650791

2019-12-22

CONV_SIM_Proj.ZIP

Verilog卷积_Conv函数实现_MATLAB卷积_FPGA实现_VGA显示色块变色,包括moselsim仿真工程,变色色块弹跳工程,MATLAB仿真工程

2019-12-04

vga_colorbar_quartus_proj.zip

本资源是Verilog写的驱动VGA显示器的工程,芯片是EP4CE6的,IntelFPGA的,quartus用的是quartus18,sim文件夹下含仿真工程

2019-10-27

vga_colorbar_simulation_proj.zip

本资源不是FPGA VGA驱动,是FPGA驱动VGA显示器的驱动部分的仿真工程,modelsim10.5b的

2019-10-27

stlink09.7z

stlinkV2驱动_win10_win7_win8_亲测好用_官方正版_win10可用

2019-10-18

breathLED.zip

Verilog呼吸灯_Verilog开发完整流程_从C语言仿真到Verilog仿真再到工程建立和烧录,稳定可靠的建立工程

2019-10-10

EmWin计算器_使用栈_带括号_适用于安富莱V6

EmWin计算器_使用栈_带括号_适用于安富莱V6,采用GUI字符串输入,内核代码处理计算算式结果,返回运算结果交给GUI显示 的方式。

2019-02-23

Image2Lcd 2.9(破解版)

Image2Lcd 2.9(破解版)支持图片转为C,转为BIN,转为BMP,大小可编辑,可以预览,非常实用

2019-01-31

如何阅读datasheet中文版

在使用一个器件或者是模块的时候必须翻阅datasheet,讲解了如何快速获得其中的信息

2019-01-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除