计算机系统基础:运算器实验2

一、实验目的
1.熟悉运算器的数据传输通路
2.验证4位函数发生器74181的组合功能

二、实验设备
EL-JY-II型计算机组成原理实验系统一套,排线若干

三、实验内容
1.利用Logisim软件对运算器的数据传输通路进行模拟;
2.在EL-JY-II型实验仪上验证函数发生器74181的逻辑运算功能和算术运算功能。

四、实验步骤
1.运算器数据传输通路分析与模拟;
(1) 在Logisim中打开已经给出的电路文件lab1-step3.circ,该文件包括两个电路图,即ALU-16(图4-1)与lab1-3(图4-2);
(2) 加载上述电路所需的Logisim电路器件库文件74x_library.circ;
(3) ALU-16是由4个74181级联而成的16位运算器,其电路如下:

在这里插入图片描述
(4) 学习Logisim中分割器(Splitter)、通道(Tunnel)组件、寄存器(Rigister)等组件,分析电路图lab1-3中运算器的数据通路;

在这里插入图片描述
(5) 设计一个操作流程,要求通过该流程能够在电路图lab1-3上模拟两个16位数的算术或逻辑运算,并将运算结果显示在输出灯上。
在这里插入图片描述在这里插入图片描述
2.74181功能验证
(1) 参考EL-JY-II型实验仪配套的实验指导(实验一),在EL-JY-II型实验仪上完成运算器实验的线路连接;

在这里插入图片描述在这里插入图片描述在这里插入图片描述
(3) 参考EL-JY-II型实验仪配套的实验指导(实验一),从74181功能表中选择部分功能进行测试,同时在表4-2记录测试数据与测试结果。

在这里插入图片描述五、 实验心得

1.了解4位函数发生器74181的组合功能及其工作原理,能够根据实验指导书自己独立完成。
2. 此次实验感觉是比较简单的,按照实验指导书上面的步骤自己独立完成没有问题,就是要注意电路连接的插线,带金属的部分朝外面连接,旁边有很多同学连接有问题的,连接好了之后只需要输入值验证就行了。
3. Logisim的功能是挺多的,可以用来测试电路,也可以根据真值表来生成电路,这可以省去绘制电路图的时间,通过此次实验,对Logisim的使用更加熟悉。
4.通过实际操作,让我对于其功能也不仅停留在纸面上了,通过实验动手,对其内部处理有了进一步了解,从而加强了对其功能的深刻理解。

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
根据提供的引用内容,我们无法得知如何设计74LS181运算器的具体细节。但是,我们可以提供一些关于Verilog HDL的基础知识和设计流程的信息,帮助你更好地进行设计。 Verilog HDL是一种硬件描述语言,用于描述数字电路和系统。设计数字电路的一般流程如下: 1. 确定电路的功能和规格。 2. 根据规格设计电路的逻辑结构。 3. 将逻辑结构转换为Verilog HDL代码。 4. 进行仿真和验证。 5. 将代码综合为目标设备的比特流文件。 在设计74LS181运算器之前,需要先了解其功能和规格。74LS181是一种四位算术逻辑单元,可以执行加、减、比较和逻辑运算。它有16个输入引脚和8个输出引脚,可以执行16种不同的操作。在设计时,需要确定所需的操作和输入输出规格。 接下来,需要根据规格设计电路的逻辑结构。这通常涉及到使用逻辑门和寄存器等基本元件来实现所需的功能。在设计过程中,需要考虑电路的性能和可靠性,并进行必要的优化。 然后,将逻辑结构转换为Verilog HDL代码。这通常涉及到使用模块、端口、信号和赋值等语言元素来描述电路的结构和行为。在编写代码时,需要遵循Verilog HDL的语法规则和最佳实践。 最后,进行仿真和验证。这通常涉及到使用仿真工具来模拟电路的行为,并检查其是否符合规格。在仿真过程中,需要考虑各种输入情况和边界条件,并进行必要的调试和优化。 最终,将代码综合为目标设备的比特流文件。这通常涉及到使用综合工具将Verilog HDL代码转换为目标设备的比特流文件,并进行必要的后续处理和调试。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

江景.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值