自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

原创 SMU架构修改

如功能模块时钟唯一,且频率合适,也可考虑使用axi-interconnect的slave时钟,即没有跨时域问题。跨时钟域的处理分为3类:单bit控制信号,多bit控制信号,数据信号。跨时域处理主要发生在axi-interconnect与各功能模块之间。(上图来自参考文献1.28页)数据流采用异步fifo处理.采用简单的多级打拍。

2024-03-04 17:53:49 220 1

原创 s_axi_lite_interface

虽然尝试将libero的axi_interconnect的接口从AXI4改为AXI4-LITE,但接口并没有减少,只能将不用的悬空。该模块用于处理AXI-LITE总线协议,将总线转为寄存器读写。新版改为了在数据阶段产生。

2024-03-01 13:15:08 198 1

原创 gpu_manager模块设计

该模块负责将V5来的数据缓存至DDR,然后根据一定的策略进行转发,发送至3块K7板(含GPU)。

2024-02-26 12:32:28 191

原创 GPU-IO板FPGA(V7)

2024.2.231.包大小如下:

2024-02-23 10:38:10 127

原创 AURORA接口调试

可以看到在64us的时候,完全建立了链接。

2024-02-20 09:46:42 233 1

原创 GPU-IO板调试记录

使用data gen发送的数据目前可以写入ddr。

2024-01-30 19:42:11 169

原创 vivado布局报错

报错:Abnormal program termination(EXCEPTION_ILLEGAL_INSTRUCTION)2.Phase 3.6 Small Shape Detail Placement报错。解决:重启电脑 reset implementation 之后通过。

2024-01-30 15:07:16 144

原创 vscode导致win10蓝屏

在高性能笔记本Y7000P上安装vscode并安装verilog插件,时不时会蓝屏,不打开vscode目前尚未出现。有时蓝屏之前vscode会先报个错。

2024-01-30 10:35:44 108 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除