如何利用Device DNA实现FPGA设计加密

Xilinx所有的FPGA器件都有Device DNA,这是一个57bit的二进制序列,在器件生产的时候烧死到芯片里面,每个芯片都是唯一的。这个序列,用户可以通过JTAG或者verilog(VHD)应用程序直接读出。怎么使用这个DNA,因应用不同可能千差万别。

一般用作加密场合,是这么使用的:
1、根据每个芯片唯一的57bitDNA,经过某种变换(加密算法)变成另外一串秘钥,同bit文件一起存储在外部flash中。

2、Bit文件加载后,会首先去读取这57bit的DNA,经过相同的变换后去和存储在flash中的秘钥做比对,如果比对成功,芯片正常工作。如果比对不成功,则停止工作(比如通过BUFGMUX把时钟关掉等)。

3、加密算法你们可以自己自定义,比如一个简单的伪随机变换,移位等都行。

4、另外,很重要的一点,生成bit文件的时候,可以选择把JTAG口封死,防止偷盗者通过JTAG读取DNA。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值