Systemverilog Interface Classes

interfac class 可以定义一系列pure function

interface class resolve_listener;
  pure virtual function void new_resolve(arm_txn_resolve resolve);
endclass

class 可以implement interface class 

class 可以implement 多个interface class

class ordering_checker extends arm_checker implements uop_listener, ace_listener;
  local arm_txn_uop m_ordered_uops[$];
  ...
endclass

支持$cast

class cfs_agent extends uvm_agent implements cfs_reset_handler, cfs_low_power_handler;
  ...
  virtual function void handle_reset();
    foreach(m_children[idx]) begin
      cfs_reset_handler reset_handler;
      if($cast(reset_handler, m_children[idx])) begin
        reset_handler.handle_reset();
      end
    end
  endfunction
  ...
endclass

 

这里推荐stan.sokorac的DVCON 文章 SystemVerilog Interface Classes – More Useful Than You Thought

 

 

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值