systemverilog 变量位宽表示方法

在systemverilog中有时候需要进行位操作,比如data[15:12](data[16-1:16-1-3]), 另外一种表示方法为:

program automatic demo;
  bit [16:0] data;
  initial begin
    data = 16'h1234;
    $display("data = %0h", data);
    $display("data = %0h", data[16-1-:4]);
  end
endprogram


simulation结果:

data = 1234
data = 1
$finish at simulation time                    0
           V C S   S i m u l a t i o n   R e p o r t 
Time: 0
CPU Time:      0.290 seconds;       Data structure size:   0.0Mb
Tue Nov 15 21:59:38 2016


这样可以使用macro定义

[MSB-:STEP] = [MSB:MSB-STEP]

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值