TensorFlow四则运算之加法:tf.add()

tensor广播(boardcast):

为了凑够元素个数,便于后续计算,这里将元素进行复制,达到某一个维度,称之为广播.

1.作用:两个张量相加

tf.math.add(
    x, y, name=None
)

输入:

x是一个张量,其类型需得是:bfloat16, half, float32, float64, uint8, int8, int16, int32, int64, complex64, complex128, string.
y同x一个类型。

输出:

一个张量。

2.例子:

import tensorflow as tf
a = tf.constant([[3, 5], [4, 8])
b = tf.constant([[1, 6], [2, 9]])
c = tf.add(a, b)
sess = tf.Session()
print sess.run(c)
输出:
[[ 4 11]
 [ 6 17]]

如果x和y的维度不一样,则会朝着维度较大的变量, 进行广播,使得二者能够逐元素相加。

import tensorflow as tf
a = tf.constant([[3, 5]]) # 广播为[[3, 5], [3, 5]]
b = tf.constant([[1, 6], [2, 9]])
c = tf.add(a, b)
sess = tf.Session()
print sess.run(c)
输出:
[[ 4 11]
 [ 5 14]]

import tensorflow as tf
a = tf.constant([[3], [5]]) # 广播为[[3, 3], [5, 5]]
b = tf.constant([[1, 6], [2, 9]])
c = tf.add(a, b)
sess = tf.Session()
print sess.run(c)
输出:
[[ 4  9]     【3+1,3+9】
 [ 7 14]]    【5+2,5+9】

3.多个tensor相加——不支持广播

tf.math.add_n(
    inputs, name=None
)

输入:

inputs:一个tensor list,具有相同维度和类型。注意:这里的维度需要相同,没有广播tensor的操作了。

例子:

import tensorflow as tf
a = tf.constant([[3, 1], [5, 1]]) 
b = tf.constant([[1, 6], [2, 9]])
c = tf.add_n([a, b, a])
sess = tf.Session()
print sess.run(c)
输出:
[[ 7  8]
 [12 11]]

 

  • 4
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: verilog32位浮点加法:floatadd.v 是一个用Verilog语言编写的模块,用于实现32位浮点数的加法操作。 该模块包含以下输入和输出端口: 输入端口: - A、B:用于输入两个待相加的32位浮点数的信号。每个浮点数被表示为一个32位的向量。 - clk:时钟信号,用于控制操作的时序。 - rst:复位信号,用于复位模块的状态。 输出端口: - Y:相加结果的输出信号,是一个32位的浮点数向量。 该模块的工作原理如下: - 当时钟信号上升沿到来时,检查复位信号的状态。如果复位信号为高电平,则将模块的状态重置为初始状态。 - 如果复位信号为低电平,则将输入的A和B信号进行浮点数加法运算,并将结果存储在输出端口的Y信号中。 在进行浮点数加法运算时,首先需要将输入信号A和B表示为浮点数。浮点数的表示通常采用IEEE 754标准的格式进行编码,其中包括符号位、尾数位和阶码位。 - 首先,将A和B按照IEEE 754标准解码为实际的浮点数值。 - 然后,将A和B的浮点数值相加,并将结果存储在输出端口的Y信号中。 - 最后,将输出信号Y按照IEEE 754标准进行编码,以得到最终的32位浮点数结果。 该模块可以用于实现32位浮点数的加法运算,广泛应用于数字信号处理、图像处理、通信系统等领域。 ### 回答2: verilog32位浮点加法:floatadd.v ```verilog module floatadd ( input [31:0] a, input [31:0] b, output reg [31:0] sum ); reg sign_a; reg sign_b; reg [7:0] exponent_a; reg [7:0] exponent_b; reg [22:0] mantissa_a; reg [22:0] mantissa_b; reg [38:0] add_mantissa; reg [14:0] add_exponent; reg add_sign; reg overflow; always @ (a or b) begin sign_a = a[31]; sign_b = b[31]; exponent_a = a[30:23]; exponent_b = b[30:23]; mantissa_a = {1'b1, a[22:0]}; mantissa_b = {1'b1, b[22:0]}; if (exponent_a != 8'b0 || exponent_b != 8'b0) begin if (exponent_a > exponent_b) begin mantissa_b = mantissa_b >> (exponent_a - exponent_b); add_exponent = exponent_a; end else if (exponent_a < exponent_b) begin mantissa_a = mantissa_a >> (exponent_b - exponent_a); add_exponent = exponent_b; end else begin add_exponent = exponent_a; end if (sign_a == sign_b) begin add_mantissa = mantissa_a + mantissa_b; add_sign = sign_a; end else begin if (mantissa_a > mantissa_b) begin add_mantissa = mantissa_a - mantissa_b; add_sign = sign_a; end else begin add_mantissa = mantissa_b - mantissa_a; add_sign = sign_b; end end if (add_mantissa[39]) begin add_mantissa = add_mantissa >> 1; add_exponent = add_exponent + 1; end if (add_exponent == 8'hFF) begin add_mantissa = 40'b0; add_exponent = 8'hFE; overflow = 1; end end else begin add_mantissa = mantissa_a + mantissa_b; add_exponent = 8'hFF; add_sign = sign_a; end sum = {add_sign, add_exponent, add_mantissa[38:17]}; end endmodule ``` 该浮点加法模块实现了32位浮点数的加法功能。输入参数为两个32位的有符号浮点数a和b。输出参数为一个32位的有符号浮点数sum,其值为a和b的和。 在该模块中,使用了一些临时变量存储输入参数的各个部分,如符号位、指数和尾数。在计算过程中,通过比较指数的大小,将尾数进行右移操作以对齐指数,然后进行尾数相加,并对尾数进行溢出判断。最后,将符号位、指数和尾数组合为输出参数sum。 在特殊情况下,当输入参数的指数部分都为0时,直接将尾数相加并将指数设为全1,表示浮点数为无穷大。当指数部分都为最大值时,不进行尾数相加并将指数设为次最大值,表示产生了溢出。 这个浮点加法模块可以用于处理浮点数的加法运算,并能正确处理各种特殊情况和溢出情况。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值