关于断言的部分用法

1、带变量的断言 

systemVerilog assertion 中variable delay的使用,##[variable],带变量的延时(可变延时)_assertion中的延时-CSDN博客

2、until 的使用

systemVerilog assertion 中until的使用_verilog until-CSDN博客

3、throughout的使用
 

常用于断言和假设中的时间约束。它的主要作用是检查在一段时间内一个信号是否一直保持特定的状态。

assert property (@(posedge clk) (A throughout B ##[3] C));

这表示 A 必须在 B 持续有效的时间内为真,并且在 B 有效后的 3 个时钟周期内 C 也必须为真。

4、利用generate同时产生多个断言

module test_assertions (
    input logic clk,  // 时钟信号
    input logic rst_n,  // 复位信号,低电平有效
    input wire [10:0] A,  // 信号 A,11 位宽
    input wire [10:0] B   // 信号 B,11 位宽
);
    // 定义一个名为 'test_assert' 的属性,用于验证两个信号之间的关系
    property test_assert(signal_a, signal_b);
        @(posedge clk);  // 在时钟上升沿触发
        disable iff(rst_n === 1'b0)  // 当 rst_n 低电平时,禁用该属性
        $rose(signal_a) |-> ##4 signal_b;  // 如果 signal_a 上升沿触发,则 4 个时钟周期后 signal_b 应为高电平
    endproperty

    // 使用 generate 块生成断言测试
    genvar i;  // 定义生成变量 i
    generate
        // 对 A 和 B 的每一位信号生成相应的断言
        for (i = 0; i <= 10; i++) begin: ASSERT_TEST
            // 对 A[i] 和 B[i] 应用 test_assert 属性
            test_assert_a: assert property(test_assert(A[i], B[i]));
        end
    endgenerate

endmodule
  • 模块接口信号

    • clk 是时钟信号,驱动断言的触发。
    • rst_n 是复位信号,低电平时禁用断言。
    • AB 是 11 位宽的信号,表示要进行验证的信号集。
  • property

    • @(posedge clk):确保断言在时钟上升沿时触发。
    • disable iff(rst_n === 1'b0):当 rst_n 低电平(复位有效)时,禁用断言。
    • $rose(signal_a) |-> ##4 signal_b:当 signal_a 在时钟边沿上升时,4 个时钟周期之后 signal_b 应为高电平。
  • generate

    • 通过 genvar ifor 循环,分别对信号 AB 的每个位进行断言验证。
    • assert property(test_assert(A[i], B[i]))AB 的每个位执行断言检查。

5、default disable iff 的用法

default disable iff 语句可以用来为整个模块或块设置默认的禁用条件,适用于模块中的所有断言。这就意味着你可以在模块的某个部分统一设置 disable iff,而不必在每个断言中重复使用。

6、多时钟断言

property multiclock_assertion;
  @(posedge clka)
    ##3 b ##0 @(posedge clkb) ##3 c;
endproperty

assert property (multiclock_assertion);

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

NobleGasex

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值