可综合verilog用法总结

1、给mudule按照数组例化

模块 b 中实例化一个模块 a 的数组实例,并将所有实例的端口连接到单一的 wire 信号;

module a (
    input wire [4:0] data_in,
    output wire [4:0] data_out
);
    // 简单的功能实现:将输入信号直接传递到输出
    assign data_out = data_in;
endmodule
module b;
    // 定义单个 5 位宽的 wire 信号
    wire [4:0] in;
    wire [4:0] out;

    // 实例化 6 个 a 模块,使用数组例化
    a a_inst [5:0] (
        .data_in(in),     // 将所有实例的 data_in 连接到相同的 in 信号
        .data_out(out)    // 将所有实例的 data_out 连接到相同的 out 信号
    );


endmodule

2、有符号数$signed的使用

2.1:信号定义

        可以先试用signed给变量定义,如:wire signed [14:0] pos_index ;

2.2:把无符号数转化为有符号数

        如有信号,input  [13:0]  un_signal; 可以利用$signed 转化为有符号数,wire signed [13:0] un_signal_tmp; assign un_signal_tmp = $signed(un_signal);

2.3如果在进行有符号数运算时,给操作数带上了位宽,可能会导致意料之外的结果,尤其是在处理有符号数时;

        假设你有两个 10 位的有符号数 bc,并且你希望将它们相加:

wire signed [10:0] a;
wire signed [9:0]  b;
wire signed [9:0]  c;
assign a = b[9:0] + c[9:0];

有符号数的截位问题:

  • 在上面的代码中,b[9:0]c[9:0] 是 10 位宽的信号,但它们被当作 10 位宽的无符号数进行处理。
  • Verilog 的位选择操作符 [9:0] 表示选择指定范围的位,通常用于位截取。当你写 b[9:0] 时,编译器将其解释为一个 10 位宽的信号,这个信号可能被看作无符号的,特别是在没有明确声明其符号的情况下。
  • attention:如果a上述代码是assign a[10:0] = b + c;那么这个结果就是对的;

3、位宽溢出问题的考虑

        3.1、对于乘法器,一个3bit乘3bit,然后赋值给4bit,会出现等式右边会出现溢出吗?--不会的

        在实际综合中,综合工具会将乘法结果自动扩展到足够宽的位宽,以匹配目标寄存器或信号的宽度。在这个例子中,乘法结果被正确地存储到 6 位宽的寄存器中,不会溢出。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

NobleGasex

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值