自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 资源 (1)
  • 收藏
  • 关注

原创 【无标题】双口RAM的读操作

利用位宽64位,深度为512的双口RAM来进行数据的读取,在数据的读取时,因为涉及到300个地址,而考虑到芯片逻辑的问题,不能一一列出所有的状态,因而利用五个状态来判断当前应当执行的操作以及要读取的数据

2022-09-20 11:33:10 232 1

原创 PWM任意频率,任意占空比波形

module pwm_gen(input clk, //连接到10Minput pwm_en, //连接到sys_on,使能信号,高电平使能输出,低电平输出为0input rst_n,input [23:0] pwm_freq, //输入波形的时钟频率input [7:0] pwm_pct, //输入波形的占空比output pwm_out //产生的PWM输出 最大时钟频率为100MH

2022-03-15 10:05:37 1175

原创 如何获得某一时钟信号的上升沿和下降沿

reg sck;reg sck_r0,sck_r1;wire sck_n,sck_p;always@(posedge clk or negedge rst_n) beginif(!rst_n) beginsck_r0 <= 1’b1; //sck of the idle state is highsck_r1 <= 1’b1;endelse beginsck_r0 <= SCK;sck_r1 <= sck_r0;endendassign sck_n

2022-03-15 10:01:33 1286

原创 verilog--偶数分频

偶数分频

2020-07-04 21:58:58 2504

Cyclone V的时钟重配置

Altera FPGA型号为Cyclone V的时钟重配置,利用到了PLL和Reconfig PLL这两个IP核,实现任意频率的输出。内部已经固定了N/C的值,也可以自己调节N/C的值实现任意整数频率的输出。根据公式pll=f*M/(N*C)计算出输出频率,很简单。

2022-08-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除