verilog--偶数分频

 

偶数分频,M = 时钟输入频率/时钟输出频率,N = M/2 如输入时钟为50M,输出时钟为5M,则M=10,N=5。

因此只需将counter以clk为时钟驱动计数,当counter = (N-1)时,clk_out翻转进行了。

module fen(        
                             
    input clk,    
    input rst_n,    
    output reg clk_out
     
    );
parameter N = 2;          //N=2,此时M=4,即系统四分频
    
reg [31:0] counter;

always @(posedge clk or posedge rst_n) begin
    if (rst_n)
        counter <= 0;
    else if (counter == N-1)
        counter <= 0;
    else 
        counter <= counter + 1;
end

always @(posedge clk or posedge rst_n) begin
    if (rst_n) 
        clk_out <= 0;
    else if (counter == N-1)
        clk_out <= !clk_out;
end

endmodule

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值