验证(uvm常见问题)--子类和父类的句柄和对象问题

sv中的子类和父类

在sv中,有如下两个类,child继承于parent。然后在test module中,正确转换的方法如下面所示。其中c1,c2,p1
都指向了同一个子类的对象c1。总结如下:

  1. 一个子类的对象是包括子类的成员变量,方法和父类的成员变量,方法。
  2. 子类的句柄c1可以直接赋值给父类的句柄p1,此时p1只可以访问父类的成员变量和方法,或者子类的虚方法,比如下面调用p1.display是可以显示“child da ben dan”的。但是无法访问子类的成员变量。
  3. 父类句柄p1要转换成子类句柄c2,一定要用cast,此时只有当父类句柄指向的是子类对象的时候,才能转换成功。
  4. 一般父类句柄转成子类句柄的原因是要访问子类的成员变量。
class parent;
int pp;
function void new();
endfuntion
virtual function void display();
	$display("parent da ben dan");
endfunction
endclass
class child extends parent;
int cc;
function void new();
endfuntion
function void display();
	$display("child da ben dan");
endfunction
endclass

module test;
child c1,c2;
parent p1;
initial begin
c1 = new();
p1 = c1;
void'(cast(c2,p1));
end
endmodule

在uvm中的运用

在uv

  • 14
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值