【UVM】句柄和对象的全面理解,浅copy和深copy的本质区别

一.句柄、对象和$cast

先来看一段代码:

class trans;
     int a=0;
endclass

class parent;
    int a=1;
    trans trans0;
    virtual function display();
        $display("parent a=%0d",a);
    endfunction
    function show();
        $display("this is parent");
    endfunction

endclass

class child extends parent;
    int a=2;
    int b=3;
    trans trans0;
    function display();
        $display("child a=%0d",a);
    endfunction    
    function show();
        $display("this is child");
    endfunction
endclass

1.向上类型转换

         可以直接赋值,也就是直接把子类句柄赋值给父类句柄(父类=子类)。

父类句柄指向子类对象之后可以通过父类句柄访问父类成员变量和方法,以及子类的虚方法

module top;
    initial begin
        child c0,c1;
        parent p0,p1;
        c0=new();
        p0 = c0;//向上类型转换,合法
        //父类句柄指向子类对象之后可以通过父类句柄访问父类成员变量和方法,以及子类的虚方法。
        $display("a=%0d",p0.a);//a=1,父类成员变量
        p0.show();//this is parent,父类方法
        p0.display;//child a=2,实际调用的是子类的display
        //无法通过句柄p0访问子类的成员变量b
    end
endmodule

2. 向下类型转换

         不能直接赋值,需要:

       (1)父类句柄指向子类对象

       (2)调用$cast(子类,父类)进行句柄赋值。

module top;
    initial begin
        child c0,c1;
        parent p0,p1;
        c0=new();
        p0 = c0;//必须的一步,不然cast会失败
        $cast(c1,p0);
        $display("a=%0d",c1.a);//a=2,子类成员变量
        c1.show();//this is child,子类方法
        c1.display;//child a=2,调用的是子类的display
        //现在可以通过句柄c1访问子类的成员变量b
    end
endmodul

总结:

         因为子类的属性比父类多,向上类型转换时,让父类句柄指向子类对象,通过父类句柄访问任何属性(父类成员变量、父类方法已经被子类重载的虚方法)都是没问题的;但是反过来如果能直接赋值,把子类句柄指向父类对象时,通过子类句柄访问子类存在但是父类不存在的属性时(上面例子里的变量b)则会造成越界,因为内存里就没有。

二. shallow copy和deep copy

      接着上面的例子继续来看shallow copy和deep copy的区别和原因,先看例子:

module top;
    initial begin
        child c0,c1;
        parent p0,p1;
        c0=new();
        p0 = c0;//必须的一步,不然cast会失败
        $cast(c1,p0);
        c0.a=10;//修改子类a的值
        $display("a=%0d",c1.a);//a=10
    end
endmodul

       通过句柄c0修改a的值之后,再通过c1句柄访问a,值也是10,原因是句柄赋值以及通过$cast赋值都是复制句柄,对象本身只有一份,所以通过某个句柄修改其指向的对象之后,通过其他指向同一个对象的句柄访问都会得到修改之后的值。

       这种copy只是句柄复制。而浅copy和深copy都是copy了对象本身而非只是句柄,下面来看一个浅copy的例子:

module top;
    initial begin
        child c0,c1;
        parent p0,p1;
        c0=new();
        c1 = new c0;//浅copy
        c0.a=10;
        $display("a=%0d",c1.a);//a=2,c1指向新的对象,上一行只修改了c0指向的对象
        c0.trans0.a=11;
        $display("a=%0d",c1.trans0.a)//a=11,浅copy不能copy trans0对象,c0和c1还是指向了同一个trans对象
    end
endmodule

浅copy能copy类里面的变量和方法,但是不能copy类里面的类的对象,只会copy类里面的类的句柄(trans0).

深copy一般用uvm factory机制,调用$cast(子类,父类.clone)实现。

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值