FPGA_点亮led

今天我们开始学习了FPGA,FPGA与单片机最大的区别在于单片机编程不需要考虑硬件,而FPGA的编程必须要对代码进行思考,因为最终我们的代码都会生成实际的电路。

FPGA设计流程

分为以下九个步骤,刚开始我们需要严格按照步骤来做,切忌眼高手低。这样等熟悉了之后才能提高开发速度。在设计的过程中发现任何一步有错误都必须回到上一步骤进行解决。
在这里插入图片描述

点亮LED

首先我们建议工程体系(路径&文件名不能出现中文)。工程目录下应包含doc(数据手册)、vivado_prj(仿真&源代码等文件)。
实验目的:使用按键key控制led的亮灭。
现在开始设计。我们打开原理图,可以看到led和key对应的管脚和电路连接状态,我对应的开发板上面是led高电平点亮,低电平熄灭,
我们现在visio中绘制波形图。这样才能理解一些细节。写代码时几乎是一次成功。波形图如下所示:
在这里插入图片描述
接下来我们进入vivado,具体使用请自行百度。我们创建了一个工程并建了一个源文件led.v。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

<往事随风>

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值