FPGA实战(二)按键控制LED实验

来自正点原子的学习笔记
上一个博客是这个哦可以去看看
FPGA实战(一)LED闪烁实验.

1 按键简介

略。。。。。。

2 硬件设计

在这里插入图片描述

3 程序设计

实验任务:使用两个PL端按键,分别控制两个PL端LED,按下不同的按键时,两个LED显示不同效果
在这里插入图片描述
在这里插入图片描述

(1)先新建工程

Vivado 2018.3入门教程:创建工程+新建源文件.(强烈推荐看这个!)

(2)写程序

在这里插入图片描述需要注意的一个地方是,最先定义端口时,默认为wire类型,因此后面always不能对其进行赋值。
可以在定义端口的时候定义为寄存器,也可以在后面用assign赋值
(详见注释)

module key_led(
	//1 定义输入输出端口
	input 					clk,
	input 					rst_n,
	
	input    	 [1:0] 		key,
	output   reg [1:0] 		led//没有指定类型,默认为wire
    );
    //定义计数器
reg [24:0] cnt;
 //此处需要计算,50MHz的时钟频率,0.5s就要计数25000000次,换算成二进制的位宽为25位(类似上个实验)
 reg        led_ctrl;//默认为1位的位宽
 //0.5s的计时器
always @ (posedge clk or negedge rst_n)begin 
  	if(!rst_n)	
		cnt <= 25'd0;
	else if(cnt < 25'd2500_0000)
		cnt <= cnt + 1'b1;
	else 
		cnt <= 25'd0; 
end 

//闪烁
always @ (posedge clk or negedge rst_n)begin 
	if(!rst_n)
		led_ctrl <= 1'b0 ;
	else if(cnt == 25'd2500_0000)
		led_ctrl <= ~led_ctrl ;//取反		
end

//按键逻辑
always @ (posedge clk or negedge rst_n)begin 
	if(!rst_n)
		led <= 2'b11 ;//未按下时,都亮
	else case(key)
		2'b10://交替闪烁
			if(led_ctrl == 1'b0)
				led <= 2'b01;
			else 
				led <= 2'b10;
		2'b01://同时闪烁
			if(led_ctrl == 1'b0)
				led <= 2'b11;
			else 
				led <= 2'b00;
		2'b11://都没有按下,全亮
				led <= 2'b11;
		default:;//同时按下
	endcase 
end		
endmodule

(3)配置管脚

不同于之间的分配管脚,这里为了方便,可以直接选择把常规的复制代码即可(不用依次填写了)
可以只选择把这一次用的KEY填一下即可
在这里插入图片描述

set_property PACKAGE_PIN J20 [get_ports {key[1]}]
set_property PACKAGE_PIN L20 [get_ports {key[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
set_property PACKAGE_PIN U18 [get_ports clk]
set_property PACKAGE_PIN J15 [get_ports rst_n]
set_property PACKAGE_PIN H18 [get_ports {led[1]}]
set_property PACKAGE_PIN J18 [get_ports {led[0]}]
//一共12行

还比如,这是正点原子准备的约束文件的代码,可以直接复制粘贴
管脚和电压一起配置的,可以看看这里constraints约束文件.
不过注意一下sys_clk和clk的区别
还有sys_rst_n与

(4)硬件连接

参见Vivado 2018.3入门教程(四):生成比特流文件+硬件连接.

基本操作都一样

最后现象和实验目的相同

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
实验目的: 通过 FPGA 实现按键控制 LED 灯的亮灭,加深对 FPGA 的理解和应用。 实验器材: - FPGA 开发板(例如 DE10-Lite) - USB 线 - 按键模块 - LED 灯模块 实验步骤: 1. 搭建硬件电路 将按键模块和 LED 灯模块插入 FPGA 开发板的相应引脚上,并将 FPGA 开发板与电脑连接。 按键模块连接方式: - K1 和 K2 分别连接到 FPGA 引脚的 PIN_23 和 PIN_25 上。 - GND 连接到 FPGA 引脚的 PIN_19 上。 LED 灯模块连接方式: - VCC 连接到 FPGA 引脚的 PIN_3 上。 - GND 连接到 FPGA 引脚的 PIN_1 上。 - LED1 和 LED2 分别连接到 FPGA 引脚的 PIN_10 和 PIN_12 上。 2. 新建 Quartus 工程 打开 Quartus 软件,选择 File -> New Project Wizard,新建一个工程。 3. 添加 Verilog 文件 在工程目录下新建一个 Verilog 文件,将以下代码复制进去: ```verilog module key_led( input clk, input k1, input k2, output led1, output led2 ); reg [1:0] state; always @(posedge clk) begin case(state) 2'b00: begin led1 <= 1'b0; led2 <= 1'b0; if(k1) state <= 2'b01; if(k2) state <= 2'b10; end 2'b01: begin led1 <= 1'b1; led2 <= 1'b0; if(!k1) state <= 2'b00; end 2'b10: begin led1 <= 1'b0; led2 <= 1'b1; if(!k2) state <= 2'b00; end default: state <= 2'b00; endcase end endmodule ``` 这段 Verilog 代码实现了按键控制 LED 灯的功能,在上升沿时检测按键的状态,根据按键的状态控制 LED 灯的亮灭。 4. 设计约束文件 在工程目录下新建一个 SDC 文件,将以下代码复制进去: ```tcl create_clock -name clk -period 10.0 -waveform {0 5.0} [get_ports clk] set_input_delay -clock clk -max 2.0 [get_ports k1] set_input_delay -clock clk -max 2.0 [get_ports k2] set_output_delay -clock clk -max 2.0 [get_ports led1] set_output_delay -clock clk -max 2.0 [get_ports led2] ``` 这段 SDC 代码定义了时钟和输入输出的时序约束。 5. 编译工程 在 Quartus 软件中,选择 Processing -> Start Compilation,编译工程。 6. 下载到 FPGA 开发板 编译成功后,在 Quartus 软件中选择 Tools -> Programmer,将编译好的文件下载到 FPGA 开发板。 7. 运行实验FPGA 开发板接通电源,按下 K1 按键,LED1 灯亮起;按下 K2 按键,LED2 灯亮起。松开按键后,LED 灯熄灭。 实验效果: 通过按键控制 LED 灯的亮灭,实现了简单的数字逻辑电路的设计和实现。 实验总结: 本实验通过 FPGA 实现按键控制 LED 灯的亮灭,加深了对 FPGA 的理解和应用。在设计数字逻辑电路时,需要注意时序约束的设置,确保电路能够正常工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值