自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 收藏
  • 关注

原创 Verilog学习笔记

D触发器:https://baike.baidu.com/item/D%E8%A7%A6%E5%8F%91%E5%99%A8/10169294由D触发器搭基本寄存器http://www.diangon.com/wenku/rd/dianzi/201505/00023734.htmlhttps://baike.baidu.com/item/%E5%AF%84%E5%AD%98

2017-11-30 17:39:36 357

原创 Python学习笔记--一些小细节

1.举一个斐波那契数列的例子>>> a,b = 0 , 1>>> while b < 100:... print(b)... a,b = b, a + b... 1123581321345589会发现 a,b 被赋值的时间是同时发生的 也就是 b = a+b 时 被赋值是前一个状态的a,也就是a还没变化的状态 2.print()p

2017-11-20 22:13:10 377

原创 如何安装Jupyter Notebook

这里基于Ubuntu 16.04sudo python2 -m pip install ipykernelsudo python2 -m ipykernel installsudo apt-get install python3-pipsudo python3 -m pip install jupyterhub notebook ipykernelsudo apt-get

2017-11-17 19:28:00 547

原创 python学习笔记--运算符与表达式的一些小地方

1.and or notIn [1]: 5 and 4Out[1]: 4In [2]: 1 and 2Out[2]: 2In [3]: 0 and 5Out[3]: 0In [4]: 5 and 0Out[4]: 0In [5]: 0 or 0 or 5Out[5]: 5In [6]: False or 6 or 0Out[6]: 6In [7]: In

2017-11-17 14:10:39 936

转载 roscd: No such package/stack 'beginner_tutorials'

发现roscd beginner_tutorials   进不去目录 beginner_tutorials在终端输入:export ROS_PACKAGE_PATH=~/catkin_ws/src:$ROS_PACKAGE_PATH即可转载自:http://blog.csdn.net/yguoelect/article/details/53185680

2017-11-14 19:28:50 621

原创 ros学习笔记--如何看可视化的话题与节点

输入 rosrun rqt_graph rqt_graph可以打开一个界面观察节点与话题的关系 绿色和蓝色的是节点 红色的是话题

2017-11-14 11:56:20 16849

转载 ROS学习笔记--清除一些僵尸node

比如:如果你仍看到 /turtlesim在列表中,这可能意味着你在终端中使用ctrl-C停止节点而不是关闭窗口,或者你没有$ROS_HOSTNAME环境变量,这在Network Setup - Single Machine Configuration中有定义。你可以尝试清除rosnode列表,通过: $ rosnode cleanup

2017-11-14 11:40:30 2403

原创 ros 编译ROS程序前要做的事

一旦安装了所需的系统依赖项,我们就可以开始编译刚才创建的程序包了。注意: 如果你是通过apt或者其它软件包管理工具来安装ROS的,那么系统已经默认安装好所有依赖项。记得事先source你的环境配置(setup)文件,在Ubuntu中的操作指令如下:$ source /opt/ros/kintic/setup.zsh

2017-11-14 11:29:38 954

转载 ROS学习笔记 进不去目录 beginner_tutorials

发现roscd beginner_tutorials   进不去目录 beginner_tutorials在终端输入:export ROS_PACKAGE_PATH=~/catkin_ws/src:$ROS_PACKAGE_PATH即可

2017-11-14 11:18:36 416

原创 ros学习笔记--rviz的常见问题

1.rviz fame [map] does not exist请看这个帖子https://answers.ros.org/question/195962/rviz-fixed-frame-world-does-not-exist/

2017-11-13 22:39:33 16937

转载 ubuntu下安mysql

ubuntu上安装mysql非常简单只需要几条命令就可以完成。  1. sudo apt-get install mysql-server   2. apt-get isntall mysql-client   3.  sudo apt-get install libmysqlclient-dev   安装过程中会提示设置密码什么的,注意设置了不要忘了,安装完成

2017-11-12 18:45:36 245

原创 python学习笔记--一些常用的tip

1.str.format()函数可以帮助格式化一个字符串如: “I‘am {},i am {:.2f} cm”.format(name,height)2.float(input("Enter your ages"))可以从console框里输入一个变量 拿到这个值

2017-11-12 18:43:57 582

转载 Verilog学习笔记(五)

Verilog的结构化描述形式在VerilogHDL中可使用如下方式描述结构:1)内置门原语(在门级);2)开关级原语(在晶体管级);3)用户定义的原语(在门级);4)模块实例(创建层次结构)。在这一实例中,模块包含门的实例语句,也就是说包含内置门xor、and和or的实例语句。门实例由线网类型变量S1、T1、T2和T3互连。由于没有指定的顺序,门实例语句可以以任何

2017-11-07 21:52:38 574

转载 机器学习学习笔记--反向传播神经网络

转载自:http://www.hankcs.com/ml/back-propagation-neural-network.html单个神经元神经网络是多个“神经元”(感知机)的带权级联,神经网络算法可以提供非线性的复杂模型,它有两个参数:权值矩阵{Wl}和偏置向量{bl},不同于感知机的单一向量形式,{Wl}是复数个矩阵,{bl}是复数个向量,其中的元素分别属于单个层,而每个层的组成单

2017-11-07 20:13:38 408

原创 Python学习笔记

Python -m SimpleHTTPServer 3000能够迅速将一个网页搭建起来

2017-11-07 19:34:01 192

原创 Python学习笔记

Python -m SimpleHTTPServer 3000能够迅速将一个网页搭建起来

2017-11-07 19:33:57 198

转载 Verilog学习笔记(四)

initial语句:在仿真中只执行一次,用于初始化变量,描述一次性行为,在仿真时刻0开始执行。下面是initial语句的示例:`timescale 1ns/1ns  moduleTest(Pop,Pid); outputPop,Pid; regPop,Pid; initial begin Pop=0;//语句1。 Pid=0;//语句2。 Pop=#51

2017-11-06 22:45:56 409

转载 Verilog学习笔记(三)--连续赋值语句

在连续赋值语句中,某个值被指派给线网变量。连续赋值语句的语法为: assign [delay] LHS_n e t = RHS_expression;右边表达式使用的操作数无论何时发生变化, 右边表达式都重新计算, 并且在指定的时延后变化值被赋予左边表达式的线网变量。时延定义了右边表达式操作数变化与赋值给左边表达式之间的持续时间。如果没有定义时延值,

2017-11-06 15:50:58 5968 1

转载 Verilog学习笔记--时延

Verilog-时延Verilog HDL模型中的所有时延都根据时间单位定义。下面是带时延的连续赋值语句实例。assign #2 Sum = A ^ B;# 2指2个时间单位。使用编译指令将时间单位与物理时间相关联。这样的编译器指令需在模块描述前定义,如下所示:`timescale 1ns /100ps此语句说明时延时间单位为1 n

2017-11-06 15:41:55 3305

转载 Verilog学习笔记(二)--模块

模块是Verilog 的基本描述单位,用于描述某个设计的功能或结构及其与其他模块通信的外部端口。一个设计的结构可使用开关级原语、门级原语和用户定义的原语方式描述; 设计的数据流行为使用连续赋值语句进行描述; 时序行为使用过程结构描述。一个模块可以在另一个模块中使用。一个模块的基本语法如下:module module_name(port_list) ; ...

2017-11-06 15:36:24 518

转载 verilog学习笔记(一)

什么是Verilog HDL? Verilog HDL (Hardware Description Language) 是一种硬件描述语言,可以在算法级、门级到开关级的多种抽象设计层次上对数字系统建模。它可以描述设计的行为特性、数据流特性、结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。此外,verilog提供了编程语言接口,通过该接口用户可以在模拟、验证期间从外部访问设计,包

2017-11-06 15:26:08 714

转载 Verilog 中的一些语法

位运算符1) ~ //取反2) & //按位与3) | //按位或4) ^ //按位异或5) ^~ //按位同或(异或非)逻辑运算符在Verilog HDL语言中存在三种逻辑运算符:1) && 逻辑与2) || 逻辑或3) ! 逻辑非等式运算符在Verilog HDL语言中存在四种等式运算符:1) == (等于)2) !=

2017-11-06 15:15:58 4163

转载 ISE学习

在用ISE出现这个错误时修改方式:右键桌面的ISE图标 选择属性-快捷方式把目标(X为盘符)X:\ISE\14.4\ISE_DS\settings64.bat X:\ISE\14.4\ISE_DS\ISE\bin\nt64\ise.exe修改为 X:\ISE\14.4\ISE_DS\settings32.bat X:\ISE\14.4\ISE_DS\ISE\bin\

2017-11-05 16:47:57 690

转载 基于 VirtualEnv 安装 TensorFlow

virtualenv 可以创建一个独立的Python运行环境,这样做能使排查安装问题变得更容易。打开终端$ cd /home/QIN#安装依赖$ sudo apt-get update$ sudo apt-get install python-pip python-dev python-virtualenv接下来,建立一个全新的 virtualenv 环境。为了将环境建在 ~/

2017-11-04 22:45:46 670

原创 Python安装PIL注意

安装PIL的时候不是使用 pip install PIL是使用pip install pillow

2017-11-04 20:46:02 459

原创 如何在Windows下装Unbuntu

这个教程还不错 http://www.cnblogs.com/Duane/p/5424218.html

2017-11-04 17:51:34 1044

转载 机器学习学习笔记--卷积网络的层次结构

在卷积神经网络(Convolutional Neural Network,CNN)中,卷积层的神经元只与前一层的部分神经元节点相连,即它的神经元间的连接是非全连接的,且同一层中某些神经元之间的连接的权重 w 和偏移 b 是共享的(即相同的),这样大量地减少了需要训练参数的数量。卷积神经网络CNN的结构一般包含这几个层:输入层:用于数据的输入卷积层:使用卷积核进行特征提取和特征映

2017-11-04 12:29:00 551

转载 机器学习学习笔记--如何在AWS上完成模型训练

如果你在本地无法完成模型训练,采用云主机完成是一个不错的选择。这里推荐 AWS,也就是亚马逊云服务。你可以登陆 https://aws.amazon.com完成注册。然后新建一个 EC2 弹性云计算主机。使用 AWS 的一个重要原因是它提供了许多优质的镜像,例如我选择的 ami-599a7721 社区镜像已经配置好了 Keras 的开发环境。除此之外,ami-638c1eo3镜像也是不

2017-11-04 10:52:41 3104 1

转载 机器学习学习笔记--迁移学习

迁移学习,故名思意,就是将已经训练完成模型的一部分参数迁移到新的模型中来。我们都知道,训练一个复杂的神经网络是非常费时费力的过程。往往我们需要收集大量已经标注好的训练集,使用昂贵的 GPU 提供算力,再花费不少的时间,才能训练出一个表现不错的模型。如果两个任务如果存在一定的相关性,比如已经训练好的一个非常优秀的猫狗识别模型,现在需要完成猫兔识别。我们就无需从头开始训练新模型,可以将原模型中

2017-11-04 10:47:43 1129

原创 机器学习学习笔记--使用Keras实现图片预测

Keras 是一个基于 Python 的高层神经网络库,其可以使用 Tensorflow、Theano 以及 CNTK 等著名深度学习框架作为后端。最好在Linux Ubuntu下操作,搭建环境,这样可以省很大功夫。这里我基于windows10下操作。使用工具:Pycharm + anaconda3.4  环境安装1.conda install tensor

2017-11-03 22:23:57 4681

原创 Python学习笔记--conda资料的介绍

我们知道安装环境是一件挺繁琐的事,如果会使用docker最好 在使用python最好的包的管理工具还是conda了这里给几个比较好的参考教程http://python.jobbole.com/86236/https://zhuanlan.zhihu.com/p/25198543使用conda 在Python3里装Python2http://jingyan.b

2017-11-03 21:23:31 293

转载 一些好用的插画软件

如果是画彩漫画的画,推荐csp,全称CLIP STUDIO PAINT,专业画漫画插画软件,黑白彩色都可以画。 国内有个优动漫 PAINT是中文版的csp,功能基本一样,个人版二百多,终生旗舰版好像只要一千多,官网上还可以下载大量的笔刷和素材。当然没钱的时候先用着盗版,有钱了还是买正版好。如果画纯黑白漫的话,推荐comic studio,我自己用的是这个,自带网点笔刷素材,很强大。不过已经

2017-11-03 18:44:18 8465

原创 微信小程序学习笔记(一)教程收集

​我这几天在整理资料。整理到自己以前收集的一些微信小程序的教程,在这里分享给大家。也当记录笔记了。微信小程序官方工具:https://mp.weixin.qq.com/debug/w ... tml?t=1476434678461微信小程序简易教程:https://mp.weixin.qq.com/debug/wxadoc/dev/?t=1476434677599微

2017-11-03 18:24:16 512

原创 discoz论坛模板的一些细节记录

https://wenku.baidu.com/view/19cefc0727d3240c8547ef18.html由于最近在进行数据库的迁移,所以用到了这个要查一些表的内容。这里有详细每个表存的东西。

2017-11-02 22:24:11 364

原创 数字电路学习笔记

最近在学习数字电路,用IE进行下板子。由于一开始对于编译器并不熟悉。这里用一个教程说明。

2017-11-02 14:01:32 1377

原创 Python学习笔记--数据库的迁移

最近得到了一个任务,让我将旧的数据库 数据迁移到新的数据库 这里用Python实现了思路  大致思路是这样 根据具体需要去更改代码‘# -*- coding: UTF-8 -*-import MySQLdb as mdbconn = mdb.connect( host="127.0.0.1", port=3306, user = 'ro

2017-11-01 18:54:23 634

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除