PT(PrimeTime)(2)

Timing Analysis Techniques

Timing Analysis Techniques就是一般时序分析技术。
report_constraint -all_violators -max_delay
这个命令可以实现报出所有的时序违规命令
这个在使用的过程中,也就是说,现在用户发现了很多的时序违规,然后通过何种方法可以将所有的时序违规进行分类,进而更好的解决所有的时序违规。这个在实际的的过程中,好像用到的并不是很多

其中大致方法分为4类
1.创建用户自身定义的path groups(这个得使用方法是比较普遍的)
可以把性能类似的,或者是相似性比较大的路径统一到一起
例如:输入到寄存器,寄存器到寄存器,寄存器到输出
也可以具体到每一个pin。实现的命令为
group_path -name INPUTS -from [all_inputs]
这句话表示了,可以得到一个INPUTS的文件,这个文件里面的东西都是和input的管脚相关的。下面的命令也是可以这么理解。
group_path -name OUTPUTS -to [all_outputs]
方便用户归类,归类的之后方便后续分析。
2.设置min-max分析方法(3条命令)(这个时常也是会用的)
这个方法是为了同时分析建立和保持时间。这个是很好理解的。
这里可以简单的记录一下使用的命令:
set link_path “* cba_core_max.db”
set_min_library cba_core_max.db -min_version cba_core_min.db
link_design
list_libraries
report_lib
其中主要的命令便是set_min_library,指定了min_max之间的关系。
这里面计算保持时间便会用cba_core_min的库;计算建立时间便会用cba_core_max的库。
这里也是要区分pre_layout and post_layout。
这里有两个命令也是需要记忆的
set_operating_conditions -analysis_type bc_wc -min MIN_OC -max MAX_OC
read_sdf -analysis_type bc_wc ba_design.sdf
这里也是要理解一下(SPEF和抽RC的概念)。
3.利用case analysis(2条命令)
实际在运用的过程中,可能有一些命令是可以不需要使用的,这时候可以将这些端口或者是模块设置为不访问或者是对端口赋定值。这种情况用的比较多的是在测试模块中。其实影响不是很大的。这个一般用的是比较少。具体命令是
set_case_analysis 0 [get_pin U1/A]
set case_analysis_log_file myfile.txt
report_disable_timing
report_case_analysis
这个在使用完毕之后,尽量的移除掉:
remove_case_analysis [get_pin U1/A]
如果已经知道了一条路径是有问题的,可以把这条路径单独拉出来进行报告
report_delay_calculation

后面需要熟练使用
check_time
report_analysis_coverage
4.利用bottleneck analysis
5.其他有效的时序分析方法
在这里插入图片描述

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值