PT静态时序分析 实践课

本文是一篇关于使用PT_shell进行静态时序分析(STA)的实践课程。内容包括如何载入先前的STA文件,设置PT环境,加载并更新布局文件,以及如何使用各种命令进行时序检查、历史记录查看和获取命令帮助。
摘要由CSDN通过智能技术生成

实践课

用pt_shell打开软件

pt_shell
做STA之前

1.已经有做过STA的文件时

用restore_session可以载入以前的文件:

restore_session share/

在这里插入图片描述
载入文件后检查时序:

report_timing

在这里插入图片描述
2.对post-layout做STA时:

首先设置PT的环境:

source /eda/synopsys/pt/2012.12/admin/setup/.synopsys_pt.setup

在这里插入图片描述
在这里插入图片描述
加载相应的文件:

set link_path "*  /home/...
  • 5
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值