modelsim 仿真 altera IP核(ROM,RAM实例)

转自:http://blog.sina.com.cn/s/blog_3f8b06cc01015gqn.html 

一、Quartus2中内嵌的Simulator与ModelSim仿真的差异?
Quartus2中内嵌的Simulator也可以进行仿真,它可以分为两种模式,Functional与Timing。但是这里的Functional是基于门级网表的功能仿真,并不是HDL级的功能仿真。

二、第三方EDA工具不支持bdf文件怎么仿真?
首先需要将.bdf原理图文件转换为Verilog HDL等第三方EDA工具所支持的标准描述文件。在Quartus下,保持*.bdf为活动窗口状态,运行[File]/[Create/Update]/[Create HDL Design File for Current File]命令,在弹出窗口选择文件类型为Verilog HDL,即可输出*.v顶层文件。

三、如何在ModelSim中仿真Altera IP宏?
Altera的基本宏功能的功能(行为)仿真模型在Quartus工具安装目录下的"eda\sim_lib"目录中:
    Verilog HDL语言的仿真库文件为220model.v和altera_mf.v;
    VHDL语言的仿真库文件为220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。
仿真时把相关库文件加到工程中去就行了。
 
四、modelsim不支持Quartus的mif文件,须用hex文件
 
 
实例:modelsim <wbr>仿真 <wbr>altera <wbr>IP核(ROM,RAM实例)

小实验:添加一个ROM,一个RAM,从ROM读16个数据,之后发给RAM,再从RAM中读取16个字节显示.(显示未截图)
仿真如下:
modelsim <wbr>仿真 <wbr>altera <wbr>IP核(ROM,RAM实例)

其中,第一栏为顶层输入输出,第二栏为ram输出,第三栏为rom,第四栏为控制部分。
 
Quartus仿真结果如下:
modelsim <wbr>仿真 <wbr>altera <wbr>IP核(ROM,RAM实例)

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值