Modelsim仿真ROM详细操作步骤

前言

很多小伙伴在看了《FPGA入门学习第六天》后,依然没办法仿真出想要的波形,遇到了很多问题,比如,我的ROM中为什么没有数据读出来呢?用的和你一模一样的工程,仿真的时候为什么还要报错呢?等等。为了给各位感兴趣的小伙伴答疑,为此我专门写这样一篇博客,希望对各位有帮助!书接《FPGA入门学习第六天》,我们在这个工程的基础上做详细的步骤讲解。


准备工作

  1. 按《Modelsim编译Altera器件库操作流程》编译器件库
  2. 在QuartusII中生成rom的初始化文件,可以是hex,也可以是mif。MIF文件的格式很简单明了,所以我一向都是用MIF。
  3. 下载convert_hex2ver.dll文件,convert_hex2ver.dll就是把hex文件转换成ModelSim 能认出来的ver文件的动态链接库文件。新版本的QuartusII中已经不带此文件了。需要在网上找一份。(老版本的软件里带了此文件(路径:quartusXX/eda/mentor/modelsim/),应当可以用。)
  4. 将convert_hex2ver.dll文件放在modelsim安装路径的win32目录下;
  5. 在ModelSim安装的目录下找到 modelsim.ini文件,将其只读属性去掉,在“; List of dynamically loaded objects for Verilog PLI applications,”后填入一行“Veriuser = convert_hex2ver.dll”。保存文件,将只读属性改回来。
  • 注意:需要将MIF文件复制到ModelSim仿真工程的文件夹下!你运行一次编译和仿真后,会看到自动生成的.ver文件,该文件名同你的MIF文件,如果没有生成.ver文件,那说明没有正确将mif文件转换成Modelsim能认出的ver文件。

详细仿真步骤

  1. 新建ROM IPCORE,同时加载初始化数据(sin.mif)
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    没有列出来的界面,直接Next即可
  2. 将sin512.mif文件拷贝到do文件夹里面,如下图
    在这里插入图片描述
    3.双击modelsim.bat,可以看到生成了ver文件
    在这里插入图片描述
  3. 仿真结果
    在这里插入图片描述
    到此我们用Modelsim仿真ROM全部完成,小伙伴们也可以自已生成一些其它的mif文件,加载到ROM里,看一下Modelsim仿真出来的波形是否和自己预想一样。如果需要工程源文件和视频教程,请与我联系,谢谢!
  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值