【数字电路】MacBook使用iverilog进行数字电路仿真

安装流程

在终端中用brew包管理工具进行安装仿真工具:

编译verilog代码:
brew install icarus-verilog

编译verilog代码:
brew install verilator

MacOS系统显示UNIX GUI
brew install xquartz

可视化仿真波形图:
brew install gtkwave

安装过程中可能出现如下报错:

Error: icarus-verilog: unknown or unsupported macOS version: :dunno

解决方案:升级brew工具

brew update-reset

代码编写

编写verilog文件test.v

module test (
    input clk,
    output dout
);
    assign dout = ~clk;
endmodule

这个verilog代码表达的是输出信号dout是输入信号clk取反的值。

编写testbench文件test_tb.v

/*
    incentive document
*/
`timescale 1ns / 1ns
module testbench();
    reg clk;
    /* define the wire below */
    wire dout;

    parameter CYCLE    = 2;
    parameter END_TIME = 200;
    /* init the module below */

    test mod(
        .clk    (clk),
        .dout   (dout)
    );

/***************************************************/
    initial begin            
        $dumpfile("wave.vcd");      //生成的vcd文件名称
        $dumpvars(0, testbench);    //tb模块名称
    end 
/***************************************************/
    /* init the state */
    initial begin
        clk = 0;
    end
/***************************************************/
    /* generate clock */
    always begin
        #(CYCLE / 2) clk = ~clk;
    end
/***************************************************/
    /* stop the simulation */
    initial begin
        #END_TIME;
        $stop;
    end

endmodule

注意,一定要有如下模块才能生成波形文件:

    initial begin            
        $dumpfile("wave.vcd");      //生成的vcd文件名称
        $dumpvars(0, testbench);    //tb模块名称
    end 

编译仿真

verilog文件编译:

iverilog -o wave test.v test_tb.v

生成vcd文件:

vvp -n wave

这里的-n是让testbench在执行完测试流程之后自动结束,也可以不在执行命令这里使用-n,而通过在testbench文件的initial块中添加$finish命令来结束。

在这里插入图片描述

用gtkwave工具打开vcd波形:

gtkwave wave.vcd
或
open wave.vcd

但是我的MacOS系统版本高于14,所以gtkwave工具不兼容,因此可以使用vscode的wavetrace插件可视化波形图。
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
从波形图可知,dout=~clk这个逻辑满足,仿真完成。

另外,编译过程可以写进shell脚本(complier.sh)一步完成:

echo "开始编译"
iverilog -o wave ./test.v ./test_tb.v
echo "编译完成"

echo "生成波形文件"
vvp -n wave
cp wave.vcd wave.lxt

echo "打开波形文件"
open wave.vcd

执行脚本:

bash ./compiler.sh

在vscode中安装Verilog-HDL插件,可以实现Highlight(代码高亮)、Liting(代码查错)、Ctag等功能。
在这里插入图片描述

在这里插入图片描述

  • 9
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Mr.zwX

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值