quartus prime pro联合modelsim仿真

装了一个18.1 pro版的quartus,发现Tools里面的Run Simulation Tool不见了,在网上找了半天才发现下面这个链接。

Simulation Quick-Start for ModelSim - Intel FPGA Edition ( Intel Quartus Prime Pro Edition)

跟着这个链接一步一步往下走就可以了仿真了。但不得不吐槽的是,这样还叫联合仿真吗?除了IP可以通过Tools > Generate Simulator Setup Script for IP自动生成tcl脚本,其他的设计文件.v、.vhd、.sv、tb还得手动添加编译,文件多了还得自己写个脚本,跟直接使用modelsim仿真感觉已经没什么两样了。

需要注意的是

.vhd 用vcom命令编译
.v 和.sv用vlog命令编译
像下面这样
vcom -work work …/PLL_RAM.vhd
vlog -work work …/PLL_RAM.v
vlog -work work …/PLL_RAM.sv
直接用链接里的命令可能会报错

更详细的说明或其他仿真工具可参考下面的链接

Intel Quartus Prime Pro Edition User Guide: Third-party Simulation
.
.
.
.
.
.

  • 6
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
以下是使用Quartus进行CPU的ModelSim仿真的一般步骤: 1. 打开Quartus Prime软件,创建一个工程,选择一个目标FPGA芯片,然后添加CPU的Verilog代码到工程中。 2. 在工程中打开“Settings”对话框,配置仿真设置。在“EDA Tool Settings”选项卡中选择“Simulation”选项,然后选择“NativeLink”为仿真工具。 3. 在仿真设置中,选择“ModelSim-Altera”作为仿真器,并设置仿真时钟周期、仿真时间等参数。 4. 在Quartus Prime中生成仿真文件。在工程文件视图中,右键单击“Simulate”文件夹,选择“Run Simulation Tool”选项,然后选择“RTL Simulation”命令。 5. 在弹出的“Start Compilation”对话框中,选择“Create a new simulation model”选项,然后点击“OK”按钮。这将生成一个新的仿真文件。 6. 打开ModelSim仿真工具,打开生成的仿真文件。在ModelSim的主界面中,选择“File>Open”命令,然后选择生成的仿真文件。 7. 在ModelSim中编译仿真文件。在ModelSim的主界面中,选择“Compile>Compile All”命令,然后等待编译完成。 8. 在ModelSim中运行仿真。在ModelSim的主界面中,选择“Simulate>Run”命令,然后等待仿真运行完成。 9. 在仿真结果窗口中观察仿真波形。在ModelSim的主界面中,选择“Wave>Zoom Full”命令,然后观察仿真波形窗口中的波形。 需要注意的是,在仿真过程中,需要针对CPU的不同模块和功能进行不同的仿真测试,以覆盖各种情况,包括正常工作、异常情况、边界情况等。同时,也需要对仿真结果进行分析和比对,以确保CPU的设计满足要求。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值