【EDA】verilog分频器设计与仿真:设计并实现偶数分频器,对开发板上的 50Mhz 时钟分频,得到一个 5MHz 的时钟。

这篇博客介绍了如何利用Verilog实现时钟分频,从50MHz分频到5MHz和1Hz。博主分享了详细的代码实现,并阐述了分频原理,代码中包含了一个10倍分频和一个50,000,000倍分频的示例。同时,博主提醒读者在忙碌编程的同时也不要忘记生活中的浪漫。
摘要由CSDN通过智能技术生成

🌵🌵🌵前言

✨你好啊,我是“ 怪& ”,是一名在校大学生哦。
🌍主页链接:怪&的个人博客主页
☀️博文主更方向为:课程学习知识、作业题解、期末备考。随着专业的深入会越来越广哦…一起期待。
❤️一个“不想让我曾没有做好的也成为你的遗憾”的博主。
💪很高兴与你相遇,一起加油!

一、原理

  • 当前频率:current
  • 欲求频率:next
  • 倍数:N=current / next
  • 翻转时刻:在数到N/2-1

一、代码

//由50Mhz时钟分频得到5Mhz时钟
 
module divider(clk50,clk5);
input clk50;
output reg clk5;	//N=50/5=10倍分频
reg[2:0] count;

always @(posedge clk50)		//时钟上升沿触发 
	begin
		if(count=='d4)	//计数到N/2-1=4翻转 
			begin
				count<=0;		//count清零 
				clk5<=~clk5;	//翻转 
				end
		else
			count<=count+1;
	end
endmodule 

二、效果图

请添加图片描述

三、同理:50Mhz -> 1hz

//由50Mhz时钟分频得到1hz时钟
 
module divider(clk50mhz,clk1hz);
input clk50mhz;
output reg clk1hz;
reg[25:0] count;	//N=50x10^6

always @(posedge clk50mhz)		//时钟上升沿触发 
	begin
		if(count==d'25000000-1)	//	N/2+1 
			begin
				count<=0;		//count清零 
				clk1hz<=~clk1hz;	//翻转 
				end
		else
			count<=count+1;
	end
endmodule 

❤️❤️❤️忙碌的敲代码也不要忘了浪漫鸭!

请添加图片描述

评论 15
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

怪&

感谢您的支持

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值