【EDA】verilog分频器设计与仿真:设计并实现偶数分频器,对开发板上的 50Mhz 时钟分频,得到一个 5MHz 的时钟。

🌵🌵🌵前言

✨你好啊,我是“ 怪& ”,是一名在校大学生哦。
🌍主页链接:怪&的个人博客主页
☀️博文主更方向为:课程学习知识、作业题解、期末备考。随着专业的深入会越来越广哦…一起期待。
❤️一个“不想让我曾没有做好的也成为你的遗憾”的博主。
💪很高兴与你相遇,一起加油!

一、原理

  • 当前频率:current
  • 欲求频率:next
  • 倍数:N=current / next
  • 翻转时刻:在数到N/2-1

一、代码

//由50Mhz时钟分频得到5Mhz时钟
 
module divider(clk50,clk5);
input clk50;
output reg clk5;	//N=50/5=10倍分频
reg[2:0] count;

always @(posedge clk50)		//时钟上升沿触发 
	begin
		if(count=='d4)	//计数到N/2-1=4翻转 
			begin
				count<=0;		//count清零 
				clk5<=~clk5;	//翻转 
				end
		else
			count<=count+1;
	end
endmodule 

二、效果图

请添加图片描述

三、同理:50Mhz -> 1hz

//由50Mhz时钟分频得到1hz时钟
 
module divider(clk50mhz,clk1hz);
input clk50mhz;
output reg clk1hz;
reg[25:0] count;	//N=50x10^6

always @(posedge clk50mhz)		//时钟上升沿触发 
	begin
		if(count==d'25000000-1)	//	N/2+1 
			begin
				count<=0;		//count清零 
				clk1hz<=~clk1hz;	//翻转 
				end
		else
			count<=count+1;
	end
endmodule 

❤️❤️❤️忙碌的敲代码也不要忘了浪漫鸭!

请添加图片描述

  • 23
    点赞
  • 132
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 15
    评论
### 回答1: 要将50MHz分频为1Hz,需要使用一个25位的计数器。每个时钟周期,计数器将增加1,直到达到2^25-1(即33,554,431),然后重新开始计数。当计数器达到特定值时,可以触发一个输出信号,从而实现1Hz的分频。在Quartus中,可以使用Verilog或VHDL编写代码来实现这个分频器。 ### 回答2: 要利用Quartus设计一个分频器,将输入50MHz频率分成1Hz频率。首先需要了解分频器的原理:分频器就是按照设定的分频系数将输入的高频信号进行分频得到低频信号。因此,对于本案例,50MHz输入信号需要进行50,000,000分频,才能得到1Hz的输出信号。 在Quartus中,可以使用Verilog HDL来实现分频器设计。下面是一种简单的思路: 1. 首先需要定义一个时钟,并将50MHz信号输入时钟中,以获取高频信号; 2. 接着,需要定义一个计数器,从0开始计数,对计数值进行判断,将目标分频系数对应的值作为阈值,当计数器等于阈值时,输出一个高电平,表示已经达到了分频条件,从而得到了1Hz的输出信号。 3. 最后,在Verilog HDL中,可以使用always语句实现上述功能。例如,使用always@(posedge clk)来激发计数器的工作,每个时钟上升沿时,计数器加1,从而实现计时;再使用if语句来判断计数器是否达到了设定阈值,并输出1Hz的信号。 总的来说,利用Quartus设计一个分频器50MHz分成1Hz并不难,需要了解分频器的原理,熟悉Verilog HDL的使用,并进行逐一的模块设计,最后进行仿真和调即可。 ### 回答3: 将50MHz时钟信号分频至1Hz是一个常见的数字电路设计问题,需要借助于分频器电路来实现。 在quartus软件中,可以通过VHDL语言或Verilog语言编写代码来实现分频器电路。 下面,我们以VHDL语言为例,介绍一种简单的50MHz分频器电路的实现方法。 首先,我们需要定义输入信号和输出信号信号类型及其数据宽度。 signal clk_in : std_logic; -- 输入时钟信号 signal clk_out : std_logic; -- 输出时钟信号 signal cnt : integer range 0 to 49999999; -- 计数器电路的计数器值 然后,我们需要定义一个计数器电路,用于统计时钟信号的高电平脉冲数。 process(clk_in) begin if rising_edge(clk_in) then if cnt = 49999999 then -- 计数器计满一次 cnt <= 0; -- 重置计数器 clk_out <= not clk_out; -- 生成输出时钟信号 else cnt <= cnt + 1; -- 计数器加1 end if; end if; end process; 在这个计数器电路中,我们通过每次检测输入时钟信号的上升沿时,将计数器的值加1,直至计数器计满50M次时,将输出时钟信号取反,并重置计数器的值为0,循环执行此操作,即可得到一个50MHz为基准的1Hz输出时钟信号。 最后,我们需要在quartus软件中编译并下载这个代码,将其烧录至FPGA芯片中,即可实现50MHz分频为1Hz的分频器电路功能。 总之,quartus可以实现数字电路设计,为实现50MHz分频为1Hz的分频器电路功能,可以通过VHDL或Verilog语言编写代码来实现,最后在quartus软件中编译并下载该代码至FPGA芯片中。
评论 15
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

怪&

感谢您的支持

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值