verilog将100mhz分频为1hz_使用verilog语言实现分频器 将50MHZ分为1hz和5hz

这篇博客介绍了如何使用Verilog语言设计一个分频器,可以将时钟信号如100MHz或50MHz分频为1Hz或5Hz。博主提供了经过验证的代码,代码中详细说明了如何根据需要调整分频系数N来达到不同分频效果。
摘要由CSDN通过智能技术生成

匿名用户

1级

2012-10-21 回答

你好,使用以下程序即可,使用时只需改变N值,N的取值大小请看注释,此程序适合对任意时钟的整数分频(包括奇偶),此程序已通过验证。根据你的情况,想得到1HZ,N取50000000即可;想得到5HZ,N取10000000即可。

/******************************************************************************************

Author:Bob Liu

E-mail:shuangfeiyanworld@163.com

Device:EP2C8Q208C8

Tool:Quartus 8.1

Function: 实现时钟的任意整数分频

Version:2012-1-9 v1.0

********************************************************************************************/

modulediv_N(

inputCLK,// 基准时钟

outputCLK_div_N// N分频后得到的时钟

);

wire[31:0]N=20;// N为分频系数,N≥2即可,N的值为CLK除以CLK_div_N后取整(四舍五入)

/******************** 产生备用时钟1 ***************/

reg[31:0]cnt1;

regCLK_div_N_1;

always @ (posedge CLK)

begin

if(N%2=

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值