CRC码的FPGA实现

 

前言

本文暂时先附上CRC算法的基本原理,方便自己后期的学习查看。

本文参考《基于FPGA的嵌入式开发与应用》徐光辉,此书中含有较多的印刷错误。

 

CRC

下图4-7存在印刷错误。 

 

在下图中,G(x)的二进制bit串中多了一个0(印刷错误),十六进制表示是正确的。

正确表示为:G(x)=1_0001_0000_0010_0001(B)=11021(H).

 

CRC16的FPGA实现

1、Verilog代码

下面Verilog中采用的生成多项式是,上表4-2中标准的CRC码生成多项式CRC-16

2、modelsim仿真

 

 

 

>>点击此处返回导航页<<

 

参考文献

1、PushmentCRC校验算法的Verilog实现
2、徐光辉《基于FPGA的嵌入式开发与应用》
3、Payshent CRC校验算法
4、persistence_s CRC校验
5、ssl2009   闲话CRC32校验
6、xiaogugood 我学习CRC32、CRC16、CRC原理和算法的总结(与WINRAR结果一致)
7、请叫我小怪物 CRC _Generator _32_8
  
  

 

 

 

 

 

  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA实现CRC校验是一种常见的做法,可以通过硬件电路来计算CRC校验。通常,需要使用一个生成多项式来进行CRC计算。生成多项式是一个固定的多项式,用于生成CRC校验。在FPGA中,可以使用Verilog或VHDL等硬件描述语言来实现CRC校验。 首先,需要定义一个CRC模块,该模块包含输入数据、生成多项式、时钟信号和复位信号等。在模块内部,可以使用寄存器和逻辑门等元件来实现CRC计算。具体的实现方式可以参考引用\[2\]中的testbench代。 在CRC模块中,需要根据生成多项式的规则来计算CRC校验。生成多项式通常是一个多项式的二进制表示形式,可以根据不同的CRC标准选择相应的生成多项式。例如,CRC-32标准使用的生成多项式是引用\[3\]中给出的CRC-32。 在实现过程中,需要注意时钟信号的同步和数据的移位操作。通过逐位移位和异或运算,可以逐步计算出CRC校验。最后,可以通过比较计算得到的CRC校验和预期的校验来判断是否校验正确。 总结起来,要在FPGA实现CRC校验,需要定义一个CRC模块,选择适当的生成多项式,并根据生成多项式的规则进行CRC计算。具体的实现方式可以参考引用\[2\]中的testbench代。 #### 引用[.reference_title] - *1* *2* *3* [FPGA(一)——基于FPGACRC算法实现](https://blog.csdn.net/weixin_43361652/article/details/107954852)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值