FPGA Verilog的单精度浮点型小数处理方法

1、操作数预处理
begin
rA <= { A[31], A[30:23], 1’b1, A[22:0] };
rB <= { B[31], B[30:23], 1’b1, B[22:0] };
end

2、运算
begin
isSign <= A[31] ^ B[31];
BDiff = rB[31:24] - 8’d127;
rExp <= rA[31:24] - BDiff;
Temp <= { rA[23:0] , 24’d0} / { 24’d0 , rB[23:0] };
end

3、结果调整
begin
if( Temp[25] == 1’b1 ) begin Temp <= Temp << 22; end
else if( Temp[24] == 1’b1 ) begin Temp <= Temp << 23; end
else if( Temp[23] == 1’b1 ) begin Temp <= Temp << 24; rExp <= rExp - 1’b1; end
end

4、输出和格式化
begin
if( rExp[9:8] == 2’b01 ) begin isOver <= 1’b1; rResult <= {1’b0,8’d127, 23’d0}; end
else if( rExp[9:8] == 2’b11 ) begin isUnder <= 1’b1; rResult <= {1’b0, 8’d127, 23’d0}; end
else if( Temp[47:24] == 24’d0 ) begin isZero <= 1’b1; rResult <= {1’b0, 8’d127, 23’d0}; end
else rResult <= { isSign, rExp[7:0], Temp[46:24] };
end

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值