蚂蚁S9矿板引脚定义

PCB

在这里插入图片描述

引脚定义

ENPLUGRSTTXDRXDSCLSDA
J9MIO36R16R17T17R18N17P18
J8MIO35Y18Y19V16W16W18W19
J7MIO34T20U20V20W20W18W19
J6MIO33U14U15U18U19W18W19
J5MIO32T16U17V15W15W18W19
J4MIO31Y16Y17W14Y14W18W19
J3MIO30T14T15P14R14W18W19
J2MIO29R19V13V12W13W18W19
J1MIO28T11T10T12U12W18W19
S1MIO47D3_REDMIO37FAN1F19
S2MIO51D3_GREENMIO38FAN2F20
D2MIO15FAN3G17
D5M19FAN4G18
D6M17FAN5J20(AD5P)
D7F16FAN6H20(AD5N)
D8L19FAN_PWMJ18(AD4P)

顶层引脚

module PL_TOP(
    input  i_clk,
    input  i_rst_n,
    input [5:0] PL_FAN_SPEED,
    output [8:0] PL_J_PLUG,
    output [8:0] PL_J_RST,
    output [8:0] PL_J_TXD,
    output [8:0] PL_J_RXD,
    output [1:0] PL_J_SCL,
    output [1:0] PL_J_SDA,
    output  PL_FAN_PWM,
    output  PL_D5,
    output  PL_D6,
    output  PL_D7,
    output  PL_D8
);

assign  PL_J_PLUG =  {8{1'b1}};
assign  PL_J_RST = {8{1'b1}};
assign  PL_J_TXD =  {8{1'b1}};
assign  PL_J_RXD =  {8{1'b1}};
assign  PL_J_SCL = {0,0};
assign  PL_J_SDA = {0,0};
assign  PL_D5 = 1;
assign  PL_D6 = 1;
assign  PL_D7 = 1;
assign  PL_D8 =1;
endmodule

system.xdc

set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SCL[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SCL[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SDA[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SDA[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[0]}]
set_property PACKAGE_PIN U20 [get_ports {PL_J_RST[6]}]
set_property PACKAGE_PIN Y19 [get_ports {PL_J_RST[7]}]
set_property PACKAGE_PIN R17 [get_ports {PL_J_RST[8]}]
set_property PACKAGE_PIN T11 [get_ports {PL_J_PLUG[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D5]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D6]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D7]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D8]
set_property PACKAGE_PIN V13 [get_ports {PL_J_RST[1]}]
set_property PACKAGE_PIN T15 [get_ports {PL_J_RST[2]}]
set_property PACKAGE_PIN Y17 [get_ports {PL_J_RST[3]}]
set_property PACKAGE_PIN U17 [get_ports {PL_J_RST[4]}]
set_property PACKAGE_PIN U15 [get_ports {PL_J_RST[5]}]
set_property PACKAGE_PIN R19 [get_ports {PL_J_PLUG[1]}]
set_property PACKAGE_PIN T14 [get_ports {PL_J_PLUG[2]}]
set_property PACKAGE_PIN Y16 [get_ports {PL_J_PLUG[3]}]
set_property PACKAGE_PIN T16 [get_ports {PL_J_PLUG[4]}]
set_property PACKAGE_PIN U14 [get_ports {PL_J_PLUG[5]}]
set_property PACKAGE_PIN T20 [get_ports {PL_J_PLUG[6]}]
set_property PACKAGE_PIN Y18 [get_ports {PL_J_PLUG[7]}]
set_property PACKAGE_PIN R16 [get_ports {PL_J_PLUG[8]}]
set_property PACKAGE_PIN U12 [get_ports {PL_J_RXD[0]}]
set_property PACKAGE_PIN W13 [get_ports {PL_J_RXD[1]}]
set_property PACKAGE_PIN R14 [get_ports {PL_J_RXD[2]}]
set_property PACKAGE_PIN Y14 [get_ports {PL_J_RXD[3]}]
set_property PACKAGE_PIN W15 [get_ports {PL_J_RXD[4]}]
set_property PACKAGE_PIN U19 [get_ports {PL_J_RXD[5]}]
set_property PACKAGE_PIN W20 [get_ports {PL_J_RXD[6]}]
set_property PACKAGE_PIN W16 [get_ports {PL_J_RXD[7]}]
set_property PACKAGE_PIN R18 [get_ports {PL_J_RXD[8]}]
set_property PACKAGE_PIN V12 [get_ports {PL_J_TXD[1]}]
set_property PACKAGE_PIN P14 [get_ports {PL_J_TXD[2]}]
set_property PACKAGE_PIN W14 [get_ports {PL_J_TXD[3]}]
set_property PACKAGE_PIN V15 [get_ports {PL_J_TXD[4]}]
set_property PACKAGE_PIN U18 [get_ports {PL_J_TXD[5]}]
set_property PACKAGE_PIN V20 [get_ports {PL_J_TXD[6]}]
set_property PACKAGE_PIN V16 [get_ports {PL_J_TXD[7]}]
set_property PACKAGE_PIN T17 [get_ports {PL_J_TXD[8]}]
set_property PACKAGE_PIN W18 [get_ports {PL_J_SCL[0]}]
set_property PACKAGE_PIN W19 [get_ports {PL_J_SDA[0]}]
set_property PACKAGE_PIN N17 [get_ports {PL_J_SCL[1]}]
set_property PACKAGE_PIN P18 [get_ports {PL_J_SDA[1]}]
set_property PACKAGE_PIN M19 [get_ports PL_D5]
set_property PACKAGE_PIN M17 [get_ports PL_D6]
set_property PACKAGE_PIN F16 [get_ports PL_D7]
set_property PACKAGE_PIN L19 [get_ports PL_D8]
set_property PACKAGE_PIN T12 [get_ports {PL_J_TXD[0]}]
set_property PACKAGE_PIN T10 [get_ports {PL_J_RST[0]}]

set_property PACKAGE_PIN F19 [get_ports {PL_FAN_SPEED[0]}]
set_property PACKAGE_PIN F20 [get_ports {PL_FAN_SPEED[1]}]
set_property PACKAGE_PIN G17 [get_ports {PL_FAN_SPEED[2]}]
set_property PACKAGE_PIN G18 [get_ports {PL_FAN_SPEED[3]}]
set_property PACKAGE_PIN J20 [get_ports {PL_FAN_SPEED[4]}]
set_property PACKAGE_PIN H20 [get_ports {PL_FAN_SPEED[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[0]}]
set_property PACKAGE_PIN J18 [get_ports PL_FAN_PWM]
set_property IOSTANDARD LVCMOS33 [get_ports PL_FAN_PWM]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值