PCB

引脚定义
| EN | PLUG | RST | TXD | RXD | SCL | SDA |
---|
J9 | MIO36 | R16 | R17 | T17 | R18 | N17 | P18 |
J8 | MIO35 | Y18 | Y19 | V16 | W16 | W18 | W19 |
J7 | MIO34 | T20 | U20 | V20 | W20 | W18 | W19 |
J6 | MIO33 | U14 | U15 | U18 | U19 | W18 | W19 |
J5 | MIO32 | T16 | U17 | V15 | W15 | W18 | W19 |
J4 | MIO31 | Y16 | Y17 | W14 | Y14 | W18 | W19 |
J3 | MIO30 | T14 | T15 | P14 | R14 | W18 | W19 |
J2 | MIO29 | R19 | V13 | V12 | W13 | W18 | W19 |
J1 | MIO28 | T11 | T10 | T12 | U12 | W18 | W19 |
| | | | | | | |
| | | | | | | |
S1 | MIO47 | | D3_RED | MIO37 | | FAN1 | F19 |
S2 | MIO51 | | D3_GREEN | MIO38 | | FAN2 | F20 |
| | | D2 | MIO15 | | FAN3 | G17 |
| | | D5 | M19 | | FAN4 | G18 |
| | | D6 | M17 | | FAN5 | J20(AD5P) |
| | | D7 | F16 | | FAN6 | H20(AD5N) |
| | | D8 | L19 | | FAN_PWM | J18(AD4P) |
顶层引脚
module PL_TOP(
input i_clk,
input i_rst_n,
input [5:0] PL_FAN_SPEED,
output [8:0] PL_J_PLUG,
output [8:0] PL_J_RST,
output [8:0] PL_J_TXD,
output [8:0] PL_J_RXD,
output [1:0] PL_J_SCL,
output [1:0] PL_J_SDA,
output PL_FAN_PWM,
output PL_D5,
output PL_D6,
output PL_D7,
output PL_D8
);
assign PL_J_PLUG = {8{1'b1}};
assign PL_J_RST = {8{1'b1}};
assign PL_J_TXD = {8{1'b1}};
assign PL_J_RXD = {8{1'b1}};
assign PL_J_SCL = {0,0};
assign PL_J_SDA = {0,0};
assign PL_D5 = 1;
assign PL_D6 = 1;
assign PL_D7 = 1;
assign PL_D8 =1;
endmodule
system.xdc
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RST[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_PLUG[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_RXD[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SCL[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SCL[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SDA[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_SDA[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_J_TXD[0]}]
set_property PACKAGE_PIN U20 [get_ports {PL_J_RST[6]}]
set_property PACKAGE_PIN Y19 [get_ports {PL_J_RST[7]}]
set_property PACKAGE_PIN R17 [get_ports {PL_J_RST[8]}]
set_property PACKAGE_PIN T11 [get_ports {PL_J_PLUG[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D5]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D6]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D7]
set_property IOSTANDARD LVCMOS33 [get_ports PL_D8]
set_property PACKAGE_PIN V13 [get_ports {PL_J_RST[1]}]
set_property PACKAGE_PIN T15 [get_ports {PL_J_RST[2]}]
set_property PACKAGE_PIN Y17 [get_ports {PL_J_RST[3]}]
set_property PACKAGE_PIN U17 [get_ports {PL_J_RST[4]}]
set_property PACKAGE_PIN U15 [get_ports {PL_J_RST[5]}]
set_property PACKAGE_PIN R19 [get_ports {PL_J_PLUG[1]}]
set_property PACKAGE_PIN T14 [get_ports {PL_J_PLUG[2]}]
set_property PACKAGE_PIN Y16 [get_ports {PL_J_PLUG[3]}]
set_property PACKAGE_PIN T16 [get_ports {PL_J_PLUG[4]}]
set_property PACKAGE_PIN U14 [get_ports {PL_J_PLUG[5]}]
set_property PACKAGE_PIN T20 [get_ports {PL_J_PLUG[6]}]
set_property PACKAGE_PIN Y18 [get_ports {PL_J_PLUG[7]}]
set_property PACKAGE_PIN R16 [get_ports {PL_J_PLUG[8]}]
set_property PACKAGE_PIN U12 [get_ports {PL_J_RXD[0]}]
set_property PACKAGE_PIN W13 [get_ports {PL_J_RXD[1]}]
set_property PACKAGE_PIN R14 [get_ports {PL_J_RXD[2]}]
set_property PACKAGE_PIN Y14 [get_ports {PL_J_RXD[3]}]
set_property PACKAGE_PIN W15 [get_ports {PL_J_RXD[4]}]
set_property PACKAGE_PIN U19 [get_ports {PL_J_RXD[5]}]
set_property PACKAGE_PIN W20 [get_ports {PL_J_RXD[6]}]
set_property PACKAGE_PIN W16 [get_ports {PL_J_RXD[7]}]
set_property PACKAGE_PIN R18 [get_ports {PL_J_RXD[8]}]
set_property PACKAGE_PIN V12 [get_ports {PL_J_TXD[1]}]
set_property PACKAGE_PIN P14 [get_ports {PL_J_TXD[2]}]
set_property PACKAGE_PIN W14 [get_ports {PL_J_TXD[3]}]
set_property PACKAGE_PIN V15 [get_ports {PL_J_TXD[4]}]
set_property PACKAGE_PIN U18 [get_ports {PL_J_TXD[5]}]
set_property PACKAGE_PIN V20 [get_ports {PL_J_TXD[6]}]
set_property PACKAGE_PIN V16 [get_ports {PL_J_TXD[7]}]
set_property PACKAGE_PIN T17 [get_ports {PL_J_TXD[8]}]
set_property PACKAGE_PIN W18 [get_ports {PL_J_SCL[0]}]
set_property PACKAGE_PIN W19 [get_ports {PL_J_SDA[0]}]
set_property PACKAGE_PIN N17 [get_ports {PL_J_SCL[1]}]
set_property PACKAGE_PIN P18 [get_ports {PL_J_SDA[1]}]
set_property PACKAGE_PIN M19 [get_ports PL_D5]
set_property PACKAGE_PIN M17 [get_ports PL_D6]
set_property PACKAGE_PIN F16 [get_ports PL_D7]
set_property PACKAGE_PIN L19 [get_ports PL_D8]
set_property PACKAGE_PIN T12 [get_ports {PL_J_TXD[0]}]
set_property PACKAGE_PIN T10 [get_ports {PL_J_RST[0]}]
set_property PACKAGE_PIN F19 [get_ports {PL_FAN_SPEED[0]}]
set_property PACKAGE_PIN F20 [get_ports {PL_FAN_SPEED[1]}]
set_property PACKAGE_PIN G17 [get_ports {PL_FAN_SPEED[2]}]
set_property PACKAGE_PIN G18 [get_ports {PL_FAN_SPEED[3]}]
set_property PACKAGE_PIN J20 [get_ports {PL_FAN_SPEED[4]}]
set_property PACKAGE_PIN H20 [get_ports {PL_FAN_SPEED[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {PL_FAN_SPEED[0]}]
set_property PACKAGE_PIN J18 [get_ports PL_FAN_PWM]
set_property IOSTANDARD LVCMOS33 [get_ports PL_FAN_PWM]