检测一个信号的上升沿以及下降沿


module posedge_in(clk,rst_n,data,data_edge,mode);
input clk, rst_n, data;
input[1:0]mode;
output data_edge;
reg en;
assign data_edge=rst_n==0?0:clk&en; 

always@(posedge data)begin
	en<=1'b1;
end
always@(negedge clk)begin
	en<=1'b0;
end
endmodule 
*******/


module Edge_Detect(input clk,input rst_n,input data,output pos_edge);    

reg [1:0]   data_r;
always @(posedge clk or negedge rst_n)begin   
if(rst_n == 1'b0)begin       
data_r <= 2'b00;   
end   
else begin       
data_r <= {data_r[0], data};   end
end 
assign  pos_edge = ~data_r[1] & data_r[0];
assign  neg_edge = data_r[1] & ~data_r[0];
assign  data_edge = pos_edge | neg_edge; 
endmodule

 

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值