检测101序列的状态机以及代码

s_idle 代表初始状态
s1 代表出现第一个1
s2 代表出现第一个10
s3 代表出现了101

module test101(clk, rst_n, data,flag_101);
input clk, rst_n, data;
output reg flag_101;
reg [1:0] current_state;
reg [1:0] next_state;
parameter s_idle = 2'd0;
parameter s_1 = 2'd1;
parameter s_2 = 2'd2;
parameter s_3 = 2'd3;
always@(posedge clk)begin
 if(rst_n==0)begin
  current_state<=s_idle;
 end
 else begin
  current_state<=next_state;
 end
end
always@(*)begin
 case(current_state)
 s_idle:
  begin
   if(data) next_state = s_1;
   else next_state = s_idle;
  end
 s_1:
  begin
   if(data) next_state = s_1;
   else next_state = s_2;
  end
 s_2:
  begin
   if(data) next_state = s_3;
   else next_state = s_idle;
  end
 s_3:
  begin
   if(data) next_state = s_1;
   else next_state = s_2;
  end
 endcase

end
always@(posedge clk)begin
 if(rst_n==0)begin
  flag_101<=1'b0;
 end
 else begin
  case(next_state)
 s_idle:
  begin
   flag_101<=1'b0;
  end
 s_1:
  begin
   flag_101<=1'b0;
  end
 s_2:
  begin
   flag_101<=1'b0;
  end
 s_3:
  begin
   flag_101<=1'b1;
  end
  endcase
 end
 
end
endmodule

 

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值