四、LED控制实验

1、硬件设计

2、程序设计

 流水灯模块的代码如下:

module flow_led(
    input 				sys_clk  ,		// 系统时钟
    input 				sys_rst_n,		// 系统复位,低电平有效
    output reg [3:0]    led				// 4位LED灯
);

reg [23:0] counter;

always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		counter <= 24'd0;
	else if (counter < 24'd1000_0000 - 1'b1)
	//else if (counter < 24'd10 - 1'b1) // 仅用于仿真
		counter <= counter + 1'b1;
	else
		counter <= 24'd0;
end

//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		led <= 4'b0001;
	else if(counter == 24'd1000_0000 - 1'b1)
	//else if(counter == 24'd10 - 1'b1) // 仅用于仿真
		led[3:0] <= {led[2:0],led[3]};
	else
		led <= led;
end

endmodule

3、运行结果

流水灯

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Hello Jason

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值