彩灯控制器的设计

彩灯控制器的设计

1. 题目要求

节日的彩灯五彩缤纷,彩灯的控制电路种类繁多。用移位寄存器为核心元件设计制作一个8路彩灯控制器。
① 彩灯控制电路要求控制8个彩灯;
②要求彩灯组成以下两种花型,每种花型连续循环两次,两种花型轮流交替。彩灯闪烁变化一下间隔1s。
彩灯花型

2. 设计框图

彩灯设计框图

3. 设计思路
①编码发生器:因为彩灯路数少,花型要求不多,该题宜选用移位寄存器输出8路数字信号控制彩灯发光。编码发生器可以采用两片4位通用移位寄存器74LS194来实现。
很显然花型I的左边是进行通过对最左边一位反相后输入最右侧,然后一个时钟周期左移一次,右边类似。
②控制电路:控制电路为编码器提供所需的节拍脉冲和驱动信号,控制整个系统工作。控制电路的功能有两个:一是按所需产生节拍脉冲;二是产生移位寄存器所需的各种驱动信号。由于要求每种花型连续循环两次,两种花型轮流交替,因此,实际的循环周期是32个时钟脉冲。
所以时钟的设计十分的重要,我们用555定时器构成的多谐振荡器来产生时钟周期信号来驱动74LS194工作,还得需要分频电路分频成16个时钟周期来控制花型的变换(分频本质就是计数器)。
这个分频电路的设计很简单的想法是用74LS161来实现,16个CLOCK输出一个脉冲,然后通过74LS112(JK)实现对状态的保存去驱动74LS194的工作。当然74LS161可以使用4个JK来实现,不过这样下来得用3个74LS112,复杂了许多,成本更高,得不偿失。
然而我们从74LS194入手,我们发现他的右侧花型在32个周期都是不变的,而且总共是循环了4遍,灵感来了,就用一个JK实现2进制计数,8*2=16,满足了我们的需要,当然还需要使用另外一个JK来保存状态(当T触发器使用)。
③清零电路:可以设计也可以不用,主要怕花型乱了,方便检查,通过一个上拉电阻接到高电平,并加一个按钮接低电平。

4. 原理图
基础版本(74LS161):
基础版本(74LS161)实现彩灯控制
提高版本(JK实现):
JK触发器实现彩灯控制

5. PCB布板(部分)
彩灯控制PCB设计
彩灯控制PCB
PS:该PCB由某位大佬完成,在此谢过,在此图中未设计按钮清零,要设计的可以参考下。

  • 28
    点赞
  • 234
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
Quartus是一款可编程逻辑器件设计软件,可以用它来设计各种数字电。要设计彩灯控制器,首先需要确定控制器的功能和输入输出接口。以下是一个简单的彩灯控制器设计示例: 1. 控制器功能:控制三个LED灯的亮灭,每个灯的颜色可以选择红、绿、蓝三种颜色中的一种或者组合。 2. 输入接口:控制器需要接收外部输入信号,包括灯的颜色选择和亮灭控制信号。 3. 输出接口:控制器需要输出控制信号给LED灯。 具体实现步骤如下: 1. 使用Quartus中的IP Catalog工具,选取FPGA芯片中的PIO模块作为输入输出接口。 2. 在设计中添加三个输出端口,分别对应三个LED灯的控制信号。每个输出端口需要配置为三位输出,分别对应红、绿、蓝三种颜色的控制信号。 3. 在设计中添加两个输入端口,一个用于选择灯的颜色,另一个用于控制灯的亮灭。选择颜色的输入端口需要配置为两位输入,表示三种颜色的选择;亮灭控制输入端口需要配置为单位输入,表示灯的亮灭控制信号。 4. 在设计中添加逻辑模块,实现控制器的核心逻辑。逻辑模块需要根据输入信号的不同组合,输出对应的控制信号给LED灯。 5. 编译设计,下载到FPGA芯片中,连接LED灯和输入输出端口,测试控制器的功能是否符合要求。 需要注意的是,这只是一个简单的彩灯控制器设计示例,实际的设计可能会更加复杂。在设计过程中,需要考虑到信号的时序和时钟控制等因素,以确保设计的正确性和稳定性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值