自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

1615549892

干就完事了!

  • 博客(244)
  • 资源 (1)
  • 收藏
  • 关注

原创 【win32_004】系统配置信息、HIWORD宏、打印系统配置信息

win32

2024-04-30 22:37:37 225

原创 DDR3的precharge、auto precharge、refresh、Self Refresh、Auto Self Refresh、Self Refresh Temperature的对比

ddr 的ASR

2024-04-29 22:51:14 182

原创 【win32_003】DC和GDI关系、DC的组成内容、获取显示设备的DC句柄(hdc)

gdi

2024-04-11 19:03:30 104

原创 IIC接口----解挂死

iic接口 解挂死

2024-03-27 22:40:30 192

原创 【S056】Clause46--XGMII接口摘要

clause 46 xgmii

2024-03-24 16:49:07 737

原创 SPI接口----IDT 8A3xxx DPLL的spi寄存器读写

spi接口

2024-03-19 23:07:17 372

原创 FF的异步清零端口需要时钟吗?--不需要;使用DFF的异步复位端口必须严格按照格式书写代码

2024-01-14 11:01:33 477

原创 【win32_005】调试信息打印到控制台----2种简单方法

【代码】【win32_005】win32调试信息打印到控制台。

2023-12-09 20:39:30 358

原创 【win32_004】格式化字符串--StringCbPrintf

【代码】【win32_004】字符串处理函数。

2023-12-05 22:27:25 417

原创 【win32_003】不同字符集下的通用字符串语法TCHAR、TEXT、PTSTR、PCTSTR

根据项目属性是否使用Unicode字符集,TCHAR被解释为CHAR(char)或WCHAR(wchar_t)数据类型。

2023-12-05 22:21:20 198

原创 【win32_002】基本的win32程序框架、消息长什么样?程序编译过程

宏定义展开(例如#define 定义);处理所有的条件编译指令,例如#ifdef、#ifndef、#endif等;处理#include,将#include引用的文件插入该行;删除所有注释;添加行号和文件标识,这样在调试和编译出错的时候可以确定是哪个文件的哪一行。预处理的过程并不会检查语法错误。

2023-12-05 20:57:25 247

原创 【win32_000】视频截图

编译器不会自己添加unicode定义v。

2023-11-19 20:45:39 95

原创 【win32_001】win32基础知识点--WinMain函数、控制台、格式化字符串、数据类型(字符、字符串、整数、bool)

001

2023-11-19 14:19:42 173

原创 三极管和场效应管-易错点

易错点:增大图中Vcc,不会增大Vce,反而会减小Vce,因为电流变大,Rc电阻分压变大,直到Vce=Vces饱和管压降,进入饱和状态。β,be>0.7正偏,ce>0.7反偏,电势:c>b>e。β,两个都正偏,电势:b>c>e,ce之间是饱和管压降。NPN三极管是电流控制器件。截止区:Ice≈0,发射结be<0.7反偏。放大区: Ice=Ib。饱和区:Ice<Ib。

2023-08-17 12:59:16 367

原创 verilog 产生16进制递增bin文件

w:写r:读a:追加b:二进制+:创建。

2023-06-29 09:46:34 215

原创 lattice diamond软件使用

diamond

2023-04-01 16:03:24 698 1

原创 【Verilog语法013】verilog多维数组(多维矩阵)的写法

多维数组

2023-03-28 09:25:42 2929

原创 【S055】verilog 乘法、除法和取余

verilog 乘法 除法

2023-03-11 14:03:08 3287

原创 nodelsim ascii显示状态机的状态,其中的a是什么?

看到图中的80’aIDLE了吗?a代表ascii,不是字符a。

2023-02-20 21:25:13 139

原创 接入网、传输网和5G

电脑(手机)>接入网>承载网>核心网>传输网>核心网>承载网>接入网 >电脑(手机)

2023-02-02 21:17:58 262

原创 【S041】verilig分频实现原理(偶数、奇数、半整数、小数分频)

小数

2023-01-03 19:17:53 471

原创 【GAOPS043】FFT(2)

FFT(2)

2022-12-27 20:29:31 756

原创 【GAOPS052】矩阵乘法运算备忘

矩阵

2022-12-14 16:16:59 161

原创 偶校验总结

保证 {data,even}中总的1的个数是偶数。

2022-12-07 12:58:42 367

原创 什么是UI?

ui

2022-12-06 15:14:55 1831

原创 MDIO/MDC

mdio

2022-12-06 15:13:11 205

原创 SONET基本术语

sonet

2022-12-06 14:36:40 143

原创 【无标题】待完善

pcie

2022-12-06 10:09:10 115

原创 logos_HSST简要说明

logos_HSST简要说明

2022-12-03 14:42:25 832

原创 180H_FPGA时钟结构

180H时钟

2022-11-25 11:39:44 921

原创 STM-1的155.52Mbps的偏差范围是多少?

SDH 的155.52Mbps合理偏差允许有多大?

2022-11-23 21:50:54 188

原创 T.50 IRA编码

t.50

2022-11-03 09:57:43 95

原创 【S050】自同步加扰和帧同步加扰

m

2022-10-23 16:06:49 1759

原创 【S051】(xilinx First-Word Fall-Through模式)预读FIFO

预读FIFO

2022-10-22 20:21:38 2006 2

原创 modelsim 仿真 毛刺 原因

modelsim 仿真 毛刺 原因

2022-10-21 14:32:35 712

原创 【SDH 004】

告警

2022-10-18 11:43:29 147

原创 【SDH 003】开销

sdh003

2022-10-18 10:51:28 224

原创 【SDH 002】AU-PTR和TU-PTR的实现细节

707

2022-10-13 10:56:31 540

原创 【Verilog语法012】Verilog >>>

verilog >>>

2022-10-11 10:25:16 138

原创 fpga 从flash启动后又被清除

2022-09-29 10:41:23 247

1000BASE-X-AN-Clause37-V1.0.doc

1000BASE-X-AN-Clause37-V1.0.doc

2023-11-19

1000BASE-X-PCS-Clause36-V1.0.doc

1000BASE-X-PCS-Clause36-V1.0.doc

2023-11-19

zifuqumo.zip

免费密码:csdn

2021-06-26

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除