自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 【无标题】vivado matlab仿真

matlab生成的补码,在读入到vivado里面做仿真的时候,可能会出现波形异常的情况:即波形的最高处跟最低处发生了翻转,这时候需要将最高位进行翻转,即可得到正常的波形。

2024-06-03 12:45:02 76

原创 vivado test_bench的几个点

(3)$readmemb("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);(6)$readmemh("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);(2)$readmemb("<数据文件名>",<存储器名>,<起始地址>);(5)$readmemh("<数据文件名>",<存储器名>,<起始地址>);(1)$readmemb("<数据文件名>",<存储器名>);(4)$readmemh("<数据文件名>",<存储器名>);当端口的信号很多的时候,可以这样例化。

2024-05-24 15:19:35 320 1

原创 部分重配置学习

dynamic function exchange

2024-05-18 15:20:00 305

原创 vivado与modelsim仿真的方法

输入vsim -L unisims_ver -L unisim -L unifast_ver -L unimacro_ver -L secureip -L simprims_ver work.board glbl -voptargs=+acc。这种情况下会出现库缺失的情况,需要将modelsim安装目录下面的modelsim.ini文件设置去掉只读模式,这样每次联合仿真的时候,modelsim安装目录下面的ini文件会被重新写,这也许会导致一些新的工程有库缺失的情况。

2024-04-12 10:52:48 548

原创 vivado里面添加自己库文件

向vivado添加自己的库

2024-03-05 13:59:10 703

原创 WIN10无U盘,用EASYUEFI来ubuntu22.04双系统的安装

win10无U盘ubuntu双系统安装,Ubuntu22.04

2022-12-29 15:05:59 574

AXI Bridge for PCI Express Gen3 Subsystem v3.0

AXI Bridge for PCI Express Gen3 Subsystem v3.0

2024-01-03

Virtex-7 FPGA Gen3 Integrated Block for PCI Express v4.3

Virtex-7 FPGA Gen3 Integrated Block for PCI Express v4.3

2024-01-03

pcie Virtex-7 FPGA Gen3 Integrated Block for PCI Express pg023

pcie Virtex-7 FPGA Gen3 Integrated Block for PCI Express pg023

2024-01-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除