FPGA-DFPGL22学习6-led

系列文章之 上章

FPGA-DFPGL22学习5-VERILOG


前言

@和原子哥一起学习FPGA

开发环境:正点原子 ATK-DFPGL22G 开发板

参考书籍:
《ATK-DFPGL22G之FPGA开发指南_V1.1.pdf》

个人学习笔记,欢迎讨论

一、原理图

在这里插入图片描述

LED0-LED3 这 4 个发光二极管均有连接三极管,这是由于 LED0~LED3 连接到了 FPGA的 IO 口,但是电压只有 1.35V,电压较低,所以此处连接三极管是为了起到放大信号的作用。
当 FPGA 输出 LED0 到 LED3 为高电平时,三极管导通,点亮 LED 灯;
当 FPGA 输出 LED0 到 LED3 为低电平时,三极管截止,LED 灯熄灭。

端口对应

在这里插入图片描述

在这里插入图片描述

后面管教约束的时候可以用来选择电平和对应的管教,生成fdc文件
平台使用

二、程序设计

在这里插入图片描述
在这里插入图片描述

三、程序编写

代码:

module flow_led(
	input        		sys_clk,     //系统时钟
	input        		sys_rst_n,   //系统复位
	output reg [3:0]    led
);

reg [23:0] counter;

//时钟上升沿有效,复位信号下降沿有效
always @(posedge sys_clk or negedge sys_rst_n )begin
	if (!sys_rst_n) 
		counter <= 24'd0;  //非阻塞赋值,同时赋值
//	else if (counter < 24'd1000_0000 - 1'b1)
	else if (counter < 24'd10 - 1'b1)
		counter <= counter + 1'b1;
	else
		counter <= 1'd0;
end


always @(posedge sys_clk or negedge sys_rst_n )begin
	if (!sys_rst_n) 
		led <= 4'b0001;
//	else if (counter == 24'd1000_0000 - 1'b1)
	else if (counter == 24'd10 - 1'b1)
		led <= {led[2:0],led[3]};
	else
		led <= led;
		
end

endmodule

仿真:

`timescale 1ns/1ns   // 仿真单位\仿真精度

module tb_flow_led();

reg  sys_clk  ;
reg  sys_rst_n;

wire [3:0]  led	  ;  

initial begin
	sys_clk    =1'b0;
    sys_rst_n  =1'b0;
	
	#200
	sys_rst_n  =1'b1;
end
	
always #10 sys_clk <= ~sys_clk;  //50MHZ

flow_led u_flow_led(
	.sys_clk   (sys_clk  ),  
    .sys_rst_n (sys_rst_n),
    .led	   (led      )
	);


endmodule

四、仿真

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

可输入命令或点开始仿真

restart -f
run 2us

200ns,对应i(counter == 24’d10 - 1’b1)
那么
(counter == 24’d1000_0000 - 1’b1)对应200us
在这里插入图片描述

五、工程下载

平台使用

在这里插入图片描述
下载后即可看到现象

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值