写工程类代码该注意的地方

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

工程类项目编码注意事项


内容

注意事项

  • 在实际工作中,无注释是不可容忍的(代码不只是给自己看的)
  • 变量,方法命名不规范(在实际项目中,limit很可能是关键字,一般也不允许作为变量进行定义)
    变量命名起码遵循能表示变量或者方法的基本意义作为基本原则
  • (驼峰法)
eg: userName   userNameFLAG
驼峰法是指混合使用大小写字母来构成变量和函数的名字
(且分为大驼峰和小驼峰)
大驼峰 特点:第一个字母大写,后边也大写
          应用:类名,函数名,属性名,命名空间
小驼峰 特点:第一个字母小写,后边大写
          应用  变量()

类的名称一般以大写字母“C”开头,表明定义的是类,后跟一个或多个单词,每个单词的首字母要大写,类的命名推荐用“名词”或形容词+名词的形式

eg:class CPerson
     class CLittleCat
  • 在实际项目中,循环不打括号是禁忌(循环体里面只有一句话,也必须打括号)(*打括号可以大幅度提高代码可读性)(在项目中讲究的是效率,而不是炫技)
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值