按键消抖法1:debounce1

module debounce #

parameter N=1
) (  
input clk,
input rst,
input [N-1:0] key,
output [N-1:0] key_pluse
);
reg [N-1:0] key_rst;
reg [N-1:0] key_rst_pre;
wire [N-1:0] key_edge;


always@(posedge clk,negedge rst)
begin
if(!rst)begin

key_rst<={N{1'b1}};
key_rst_pre<={N{1'b1}};
end
else
begin
key_rst<=key;
key_rst_pre<=key_rst;
end
end

assign key_edge=key_rst_pre&(~key_rst);


reg [17:0] cnt;


always@(posedge clk,negedge rst)
begin 
if(!rst)
cnt<=18'h0;
else if(key_edge)
cnt<=18'h0;
else
cnt<=cnt+1;
end
reg [N-1:0] key_sec_pre;
reg [N-1:0] key_sec;


always@(posedge clk,negedge rst)  
begin
if(!cnt)
key_sec<={N{1'b1}};
    else if(cnt==18'h3ffff)
key_sec<=key;
end
always@(posedge clk,negedge rst)
begin
if(!rst)
key_sec_pre<={N{1'b1}};
else 
key_sec_pre<=key_sec;
end
assign key_edge=key_sec_pre&(~key_sec);
endmodule



  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值