矩阵按键(4*4阵列)

该Verilog模块Array_KeyBoard用于处理4x4矩阵按键,采用状态机和计数器实现按键扫描。每5ms切换一次状态,每个状态对应矩阵的一行有效,通过读取列接口的电平状态来检测按键是否被按下,并输出消抖后的信号。
摘要由CSDN通过智能技术生成


module Array_KeyBoard #
(
parameter NUM_FOR_200HZ = 60000 //定义计数器cnt(5ms)的计数范围,例化时可更改
)
(
input clk_in, //系统时钟
input rst_n_in, //系统复位,低有效
input [3:0] col, //矩阵按键列接口
output reg [3:0] row, //
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值