FPGA在校学习记录系列---新建一个FPGA工程编写程序并仿真(Verilog HDL)

此系列记录FPGA在学校的学习过程。
FPGA系列

需要用到的软硬件:

软件:
在这里插入图片描述
硬件:
5CEBA4F23C7芯片

开始新建一个工程

首先打开FPGA的软件
在这里插入图片描述
双击“New Quartus II Project”
在这里插入图片描述
“NEXT”

在这里插入图片描述
填入项目路径,名称,实体名
在这里插入图片描述
创建一个空项目
在这里插入图片描述
可以添加文件,但是我们暂时不添加
在这里插入图片描述
开始选择开发板上的芯片

在这里插入图片描述
这里使用的是Verilog HDL语言
在这里插入图片描述

Finsh,完成创建

在这里插入图片描述

创建Verilog HDL文件(.V文件)

1.窗口界面

在这里插入图片描述

2.开始新建一个.v空白文件

在这里插入图片描述
在新建的文件里面添加测试程序
在这里插入图片描述

//FPGA 使用:Verilog HDL语言
module FPGA_Project_New (a, b, s, y);//模块名
input a,b,s;
output reg y;
always @(a or b or s)
begin
	if(s)
		y<=b;
	else
		y<=a;
end
endmodule
//s输入等于1时,y的值等于b,否则等于a

保存一下,名字要和模块名一样
在这里插入图片描述

编译

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

仿真

1.生成.VT仿真文件

在这里插入图片描述
可以在文件夹找到生成的.vt文件(文件类别选全部可以看见)
在这里插入图片描述

2.设置初始值并仿真

咱们可以设置初始值
在这里插入图片描述

initial                                                
begin                                                  
// code that executes only once                        
// insert code here --> begin  
	a=0;
	b=1;
	s=1;
	#100 s = 0;
                                                       
// --> end                                             
$display("Running testbench");                       
end     

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
打开后自动弹出
在这里插入图片描述

总结

有一些坑要踩过才知道,所以一定要实际操作一次

  • 18
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值