FPGA相关应用

 

 

 

 

 

基于PFGA的视频图像采集系统的设计与实现

 

 

 

基于FPGA的视频图像采集系统的设计与实现

摘要

随着人们对安全措施的意识越来越强,视频监控系统的需求和性能要求也越来越高。一对一视频捕获系统是视频监视系统的前端,它是提高视频监视系统性能的最大瓶颈。传统的视频捕获方法无法满足当前对高速视频捕获的广泛需求。同时,由于传统视频捕获系统技术的限制,适应当前各种视频源格式并不灵活。

本文介绍了新的视频捕获系统的设计,并实现了具有视频捕获功能的现场可编程门阵列(FPGA)前端系统。该系统最大程度地发挥了FPGA器件在视频处理中的优势,提高了性能,并使该系统更加灵活,可以满足不同视频格式的需求。该系统具有出色的性能设计灵活性,功能可裁剪性以及出色的集成能力。在此基础上,对视频采集系统的工作过程进行了详细分析,并将系统的功能模块分为ITU-R6 56解码,视频帧缓冲,去隔行,视频去噪,色彩空间转换和I2C集成电路)配置模块,例如VGA控制和FPGA配置。

视频捕获系统最重要的方面是视频捕获的实时性。对系统实时性能的最大影响是视频处理中计算量最大的部分。这包括视频解码,矩阵运算,去隔行和滤波器降噪。系统设计充分利用了FPGA的并行处理能力和FPGA内嵌的DSP模块的功能,硬件可以合理地加速系统运行,提高处理速度,节省硬件开销。将会完成。最后,检查视频捕获系统的功能。结果表明,该系统满足功能和处理时间要求,具有较高的应用价值。

关键词:FPGA;视频监控;视频采集;视频信号处理


Design and implementation of video image acquisition system based on FPGA

ABSTRACT

With the increase of people's awareness of security precautions, the demand for video surveillance systems is increasing, and the performance requirements are becoming higher and higher. As the front end of the video surveillance system, the video capture system has become the biggest bottleneck for the performance improvement of the video surveillance system. Traditional video capture methods have been unable to meet the current wide-ranging demand for high-speed video capture. At the same time, due to the limitations of traditional video acquisition system technology, it cannot flexibly adapt to the diversity of current video source formats.

This paper introduces a new design scheme of video acquisition system, which realizes FPGA (field programmable gate array) front-end system with video acquisition function. This system makes full use of the advantages of FPGA devices in video processing, improves performance, makes the system more flexible, and can meet the needs of different video formats. The system has the characteristics of superiority in performance:design flexibility, tailorability of functions and good integration. On this basis, the working process of the video acquisition system is analyzed in depth. The functional modules of the system are divided as follows: ITU-R6 56 decoding, video frame buffering, deinterlacing, video denoising, color space conversion, I2C (Inter Integrated Circuit) configuration, VGA control and FPGA configuration modules.

The most important thing for a video capture system is the real-time nature of the video capture. What has the greatest impact on the real-time performance of the system is the most computationally intensive video processing part, which involves video decoding, matrix operations, deinterlacing, and filtering and denoising. In the system design, the advantages of the parallel processing of FPGA and the functions of the DSP module embedded in the FPGA were fully utilized, and the system was reasonably hardware accelerated, the processing speed was improved, and hardware overhead was saved. Finally, the functional verification of the video acquisition system was carried out. The results show that the system can meet the requirements of function and processing time, and has great application value.

Key Words:FPGA;video surveillance;video acquisition; video signal processing

 

 

 

 

第一章 绪论

1.1课题研究背景

在我们的日常生活中,视频的采集设备可以很大程度上代替繁重的人工劳动,大大的提高了工作效率,银行的监控、生产的安全等等,到处都能看到视频采集设备的身影。如果中间再能加上处理和控制部分,还可以对目标运动状态进行判断和控制,例如在自动化中,我们希望能够快速的知道关于监控对象的具体信息,并且将监控对象出现的问题反馈给工业单位,现场立即采取措施避免生产损失,或者是通过视频监视系统对具体目标的行为有更加充分的了解,对机器人的各个动作进行监视和控制,视频监控系统也正是在这样的环境背景下发展起来的。随着人们对安全措施的意识越来越强,视频监控系统的需求和性能要求也越来越高。一对一视频捕获系统是视频监视系统的前端,它是提高视频监视系统性能的最大瓶颈。传统的视频捕获方法无法满足当前对高速视频捕获的广泛需求。同时,由于传统视频捕获系统技术的限制,适应当前各种视频源格式并不灵活。视频监控设备在生产生活各个方面得到了非常广泛的应用。近些来的发展也越来越快,已经成为我们经济建设发展中一个非常重要的领域。

1.2国内外研究现状

目前在我们国内,模拟视频采集技术已经发展了很长的时间,技术应用趋向成熟,在各行各业很多环境中都得到能见到它的身影,尤其是一些大、中型规模的视频监控系统中己经有了非常多的应用。数字视频系统是最新发展起来的一种新型系统,利用已经发展很成熟的计算机技术,主要研究视频压缩、视频传输等技术,该系统比传统的模拟视频采集系统更具优势,但仍有必要向更好更完善的方向发展。在国外,尤其是欧洲,视频监控设备遍布公共场合,对公共安全的防范能力起着举足轻重的作用。另外,在道路交通、银行、学校、大型超市、工厂、居民住宅等领域也都能看到视频监控设备的身影。在美、英等国,越来越多的个人家庭使也在使用视频采集设备,主要的目的是为了保证财产和人身安全,视频采集设备已经深入人们生活中的各个角落。由于历史的发展和人们意识上的原因,我们国家的视频监控产业的发展相对落后,还不是很完善,而欧美一些发达国家在这方面的技术研究则相对成熟,而且主要是用DSP作为主处理芯片进行视频的采集、处理和显示。而在国外,近些年随着FPGA/CPLD技术的兴起,人们已经转变了思路,开始用FPGA来进行视频的处理,使用FPGA技术成为了视频采集技术的发展新方向。

但是我们国内FPGA/CPLD技术发展时间很短,处于起步阶段,对于相关的设计经验还处于摸索阶段,所以我们在视频采集方面采用FPGA技术的应用不是很广泛。近些年随着视频监控系统应用的逐渐增多,人们的要求也越来越高,希望视频采集的速度越来越快。由于国内视频采集系统都以DSP为主控制芯片,在进行视频处理时速度方面遇到瓶颈,使得视频采集速度一直得不到有效的提升。而我们采用FPGA技术进行的视频采集系统设计,都是应用的纯硬件的方式,速度肯定比软硬结合的方式快,而且FPGA的并行处理的特点使大量的处理运算能更快的完成,这样视频采集的速度比以DSP为核心的系统的速度有了质的提高,系统性能的进一步的完善将会是视频采集发展的基本趋势。所以FPGA技术的出现,使视频监控系统发展有了新的机遇。采用FPGA技术进行视频采集系统设计一肯定会更多的设计者所认可。

FPGA在如下几个方面有很大的优势:首先是并行性,FPGA最大的特点就是并行处理数据,可以很容易的实现,可以完成大量的复杂数据的运算。

其次是它的可编程性这样的特点使FPGA在市场上的优势则更加的明显。

FPGA还具有高集成性:很多公司的FPGA芯片内部集成了A/D以及其他功能,FPGA集成软核处理器也将成为下一个新的发展趋势。总而言之,FPGA的集成度越来越高,产品的体积就会越来越小、尸产品的功耗就会越来越低,使用也会更加的方便。

在学习和研究视频采集系统相关理论知识的基础上,本文首先提出了采用Altera公司的Cyclone II EP2C35作为核心控制芯片,并利用FPGA技术设计了一个视频采集系统,并阐述了它的基本结构、实现方法、实现步骤等。

1.3文章主要内容和结构

本文对开发软件和开发平台进行必要的介绍。2.研究视频信号相关知识,对模拟视频信号解码电路的设计及初始化配置过程进行了阐述。  3.确定视频采集系统的结构,完成系统功能框图和总体设计。  4.完成各个模块的设计和功能验证。5.对整个系统进行综合调试、测试。

 

第二章 FPGA技术简介和硬件选型

2.1 FPGA介绍

当我们在使用FPGA进行数字逻辑设计时,要先对FPGA的结构有详细的了解,进行具体的分析。熟悉了FPGA的结构特点后再根据系统性能要求来进行合理的设计。系统的要求和性能指标在我们现场环境的要求下,尽量使系统的运行速度得到提升,减少资源的消耗,降低成本。运行速度快频率高也就说明系统时序余量大,稳定性好、可靠性强。系统所用的芯片数量少或者单片的门数少就意味着资源消耗少,但是要根据具体情况而定,也不能过少,总体资源消耗过少的设计也不合理。但要两者因素要同时实现比较困难,一般来说,我们最先考虑的是速度,其次在考虑资源成本的问题。保证速度指标的前提下,尽量使用较少的芯片数量或者FPGA内部的门数量控制在合理的百分比,不过多的浪费系统资源。采用FPGA的并行处理数据的特点使系统在数据处理时速度得到提升一;这样就时序余量就比较充分,在这种情况下可以采用模块复用的方式减少资源消耗,节约设计成本。在系统设计的时候要充分考虑二者之间的关系,合理的进行电路的设计。  

FPGA的设计往往要进行多次的调试验证,并不是按照序流程一次就能轻易的完成电路设计,在开发设计过程中,开发人员在设计验证中发现问题往往需要回到前面步骤进行反复的检查修改。

2.2 FPGA的设计流程

    1. 电路设计与输入常用的设计输入

这个步骤主要是原理图和设计图的的设计方法。

    1. 功能仿真  

功能仿真是指使用QUARTUS内部仿真工具对用编程语一言完成的设计进行功能仿真,验证我们所设计的电路在功能上能不能达到预期的要求。系统设计的稳定性也能得到有效地保证。这是第一次的电路设计的检验,为了提高电路的稳定性和准确性。   

    1. 综合优化  

我们用HDL语言的方法或者用原理图输入的方法设计功能电路模块,要先对FPGA的结构有详细的了解,进行具体的分析。熟悉了FPGA的结构特点后再根据系统性能要求来进行合理的设计。系统的要求和性能指标在我们现场环境的要求下,尽量使系统的运行速度得到提升,减少资源的消耗,降低成本。

    1. 实现与布局布线  

综合后仿真生成的逻辑网表与FPGA芯片实际的配置情况还是有一定差距,所以接下来要进行实现与布局布线。在综合后仿真完成后,将综合仿真生成的电路逻辑网表文件通过相关逻辑分析软件映射到真正的FPGA器件中,并产生最终的下载配置文件下载到具体FPGA芯片中,这一过程就是实现过程。布局是将逻辑单元合理的分配到FPGA内部逻辑资源的具体位置,方便于连接。   

    1. 时序仿真  

来估一计系统设计的性能。通过仿真可以从很大程度上降低系统在实际运行过程中与所设计的时序不相符的情况发生的概率,从而确保整个系统设计的可靠和稳定。

FPGA的设计流程图2.1所示:

 

图2.1 FPGA设计流程

 

第三章 视频采集系统的硬件设计

3.1系统硬件结构

本章主要介绍系统各个部分的硬件电路的设计,具体设置在各个部分进行介绍。

3.2控制块

本系统设计的视频采集系统用模拟摄像头CCD采集到的模拟视频信号,而我们平时生活中也能接触到模拟视频信号  

NTSC系统如下:NTSC系统在美国成功开发,诞生于1953年。这种类型的电视具有60 Hz的功率频率,60场/秒的场频,30帧/秒的帧频,525条扫描线和4.2 MHz的图像信号带宽。已采用NTSC系统的国家包括美国和日本。  

具体代码如下:

 

wire   Y_check=( (R3==8'hff)&&(R2==8`h00)&&(R1==8'h00) )? 1:0;

   always @(posedge CLOCK) begin

       AA1=image;

       AA2=A l;

       AA3=A2;

   end

       always @(negedge CLOCK) begin

       A1=AA1;

       A2=AA2;

       A3=AA3;

   end

reg START,Field;

     always @(posedge CLOCK) begin

     if (Y_check==1) begin

         START=~ image [4];

         Field= image [6];

     end

     end

reg [1:0]begining;

always @(posedge CLOCK) begin

     if (! START)

         begining=0;

         else begining=begining+1;

end

reg Ypix_clock;

always @(posedge CLOCK) begin

     case (begining)

       0:beginib= image;                   Ypix_clock =0;end

       1:begin HH= image;CCr=Crr;CCb=Cbb;   Ypix_clock=1;end

       2:begin Crr= image;                    Ypix_clock =0;end

       3:begin HH= image;CCr=Crr;CCb=Cbb;   Ypix_clock =1;end

     endcase

end

 

3.3采集模块

由于YUV信号与模拟信号交错,因此需要信号选择电路。YUV是总共三个信号。 设计一个计数器供您选择。如果计数为0或2,则为UV信号。如果计数为1和3,则为Y信号实际完成的是将串行信号并行化。

从视频ADD芯片ADV7181B中采集到的数字视频流经ITU-8656解码后,识别出行、场同步信号和图像数据。每帧图像的奇偶两场被识别出来后,为了对视频数据进行隔行到逐行变换的处理,首先要将每帧图像的奇偶两场存入视频帧缓存中这一节中讲详细的介绍视频帧缓存模块的设计及实现。如下图所示为控制状态仿真图。

 

初始化状态

 

写状态

 

读状态

控制模块仿真图

 

 

 

3.4VGA模块

3.4.1 ITU-8656解码模块的实现

3.4.2存储器的选型  

为了对解码出来的YUV信号进行去隔行处理,首先要将解码产生的视频数据存入缓存。可以用来作为帧缓存的存储器件有多种,在2.4.2节中,已经介绍了DE2实验板上提供的存储器件,其中有以下存储器件供选择:8M的SDRAM, 512K的SRAM和约420K的M4K片内RAM。基于FPGA图像预处理算法在视频图像采集系统中完成。这样可以有效地从原始图像中收集有用的信息,另外,可以获得实时处理的效果。这为以后设计视频监视设备提供了特定的参考。综合实验结果,主要解释了视频图像采集和视频图像预处理两个部分。正确采集视频图像后,设计用于图像预处理的FPGA硬件系统,包括基本算法和算法本身。硬件的计算结构和计算方法用于两者的结合,最终准确地提取出边缘轮廓目标图像,完成设计要求。

要把一帧或一场数据全部存入缓存是不现实的。这时,有两种方案可以选择,第一种是选用SDRAM作帧缓存器,但这样需要另加SDRAM芯片,这样就势必增加成本。对于价格就是生命的嵌入式产品来说,在实现功能的范围内,成本必须严格控制。而且,控制SDRAM比较复杂。另一种方案采用“乒乓操作控制算法”每次存储一行数据。该算法具体实现将在下一节有详细的描述。  

每个缓存以乒乓操作的形式对视频进行缓冲存储。下面,对乒乓操作进行简单的介绍。

3.4.3视频帧缓存的实现  

对于YUV信号,本设计中选用altsyncram来构成ram2。每个端口数据宽度为8位,深度均为1024,选择MegaWizard Plug-In Manager产生,如图4-5所示。

 

图4-5 双端口RAM

设计中的双端口RAM有两个8位数据输入端口和两个8位数据输出端口,深度为1024,两个输入端口分别由写使能wren a和wren b控制,同时,端口a和端口b分别有自己单独的读写时钟clock a和clock b,读写时钟在上升沿触发。采用Cyclone II内部M4K单元构成,总共使用一个M4K资源。

 

第四章 FPGA视频采集系统的设计及实现

4.1引言

特别是近年来FPGA技术的出现,必然使视频采集系统的设计发生很大变化。随着人们对安全措施的意识越来越强,视频监控系统的需求和性能要求也越来越高。一对一视频捕获系统是视频监视系统的前端,它是提高视频监视系统性能的最大瓶颈。传统的视频捕获方法无法满足当前对高速视频捕获的广泛需求。同时,由于传统视频捕获系统技术的限制,适应当前各种视频源格式并不灵活。本章重点阐述以Altera公司Cyclone II系列EP2C35FPGA为核心器件,构建一个视频采集系统的主要过程。

4.2系统的功能框图

FPGA芯片作为中央控制器控制整个视频信号处理过程,可以将FPGA系统划分为以下几个模块:ITU-8656解码模块、视频帧缓存模块、去隔行模块、色彩空间转换模块、I2C配置模块、VGA控制模块和FPGA配置电路模块。FPGA视频采集系统内部各模块整体框图如图4-1所示。  

 

图4.1系统内部各模块整体框图

    

 

系统内各模块功能简要描述如下:  

  1. 由于整个系统均工作在同一时钟下,很好的避免了系统数据缓冲和异步时钟的问题。  
  2. 视频缓存模块系统共设置两处视频缓存,第一处视频帧缓存模块设计一个双端口RAM,第二处视频缓存为实现视频去噪而设置。  
  3. 视频去噪模块完成视频滤波,去除视频中的噪音,提高视频质量。在模块中提出一种快速中值滤波算法。该算法实现以FPGA丰富的硬件资源为基础,以并行处理的方式实现中值滤波。  
  4. FPGA收到采集命令后,启动ITU-8656解码模块进行ITU-8656解码,并将解码后的视频数据写入视频帧缓存模块,去隔行模块读出数据利用帧内行复制法进行去隔行处理,产生一帧逐行扫描视频数据,数据存入缓存该FPGA视频采集系统可以按照设计的功能要求良好的工作,下文中对系统内部各模块的具体功能和实现过程进行介绍。

当FPGA系统收到采集命令后,ADV7181B数据总线上符合ITU-8656标准的数字视频信号连续不断送到ITU-8656解码模块中。随着人们对安全措施的意识越来越强,视频监控系统的需求和性能要求也越来越高。一对一视频捕获系统是视频监视系统的前端,它是提高视频监视系统性能的最大瓶颈。传统的视频捕获方法无法满足当前对高速视频捕获的广泛需求。同时,由于传统视频捕获系统技术的限制,适应当前各种视频源格式并不灵活。  

 

从图4-2可以看到YUV信号的组成排列方式由于解码时要考虑到奇偶场和行、场参考等信号,整体采集的流程图显得比较复杂

4.3 视频图像采集系统验证

如图所示,为视频采集系统连接图,视频图像获取部分和视频图像预处理部分分开以进行实验验证。系统生成的.sof项目配置文件被下载到FPGA开发板上,一旦成功运行,就可以收集视频图像。基于FPGA图像预处理算法在视频图像采集系统中完成。这样可以有效地从原始图像中收集有用的信息,另外,可以获得实时处理的效果。这为以后设计视频监视设备提供了特定的参考。综合实验结果,主要解释了视频图像采集和视频图像预处理两个部分。正确采集视频图像后,设计用于图像预处理的FPGA硬件系统,包括基本算法和算法本身。硬件的计算结构和计算方法用于两者的结合,最终准确地提取出边缘轮廓目标图像,完成设计要求。

建立了系统实验,实验结果如图所示。 您可以看到由摄像机收集的视频图像可以显示在VGA上。监视器显示正确,图像清晰稳定,达到了本实验中预期的视频图像采集效果。

 

如图所示,是视频图像采集与预处理系统的整体实验结果基于FPGA图像预处理算法在视频图像采集系统中完成。这样可以有效地从原始图像中收集有用的信息,另外,可以获得实时处理的效果。这为以后设计视频监视设备提供了特定的参考。综合实验结果,主要解释了视频图像采集和视频图像预处理两个部分。正确采集视频图像后,设计用于图像预处理的FPGA硬件系统,包括基本算法和算法本身。硬件的计算结构和计算方法用于两者的结合,最终准确地提取出边缘轮廓目标图像,完成设计要求。

 

结论

本文在充分了解了国内外视频采集系统现状及发展趋势的基础上,介绍了新的视频捕获系统的设计,并实现了具有视频捕获功能的现场可编程门阵列(FPGA)前端系统。该系统最大程度地发挥了FPGA器件在视频处理中的优势,提高了性能,并使该系统更加灵活,可以满足不同视频格式的需求。该系统具有出色的性能,设计灵活性,功能可断开性以及出色的集成能力。视频帧缓冲,去隔行,视频去噪,色彩空间转换和I2C集成电路配置模块,例如VGA控制和FPGA配置主要有以下工作:

  1. 分析了传统视频采集系统的不足,充分利用了FPGA技术在视频处理中的并行性和可编程性的优势,确定了设计的总体方案。  
  2. 系统的研究了模拟视频信号与数字视频信号的特点,详细分析了模拟视频到数字视频的转换过程。  
  3. 完成各个模块的功能。   
  4. 完成系统集成,对整个系统进行综合调试、测试。  

本课题的创新点在于:  

基于FPGA技术的视频采集系统由于是完全硬件实现,且可以多个任务并行执行,大幅度的提高了系统的性能,采集速度可以达到60帧/秒,基本上是传统视频采集系统的2倍。这是本课题的创新之一;

其次,由于FPGA的可编程性,方便系统升级,可以使系统适合多种视频源。  

通过理论分析和结果验证,本论文提出的方案合理,可行。由于FPGA技术是一种新技术,同时限于本人设计经验和能力等条件,本文主要针对视频采集部分的设计进行了详细论述,并没有对所有问题都作详细的探讨,如视频压缩、视频存储、多路采集、画中画显示及网络化等,这些问题有待在后续研究中加以解决。

 

 

参考文献

[1]李钟武.08年安防需求旺盛视频监控市场前景广阔[[EB/OL].深圳:深安集团,2007 [2008-02-28] . http://www.soft6.com/business/5/56922.htm1.

[2]王斌,楼颖稚,张肖宁.视频监控的发展及在电力系统中的应用[J].电力系统通信,2004, (11):23-26.

[3]万达.ASIC技术的新发展[J].微电子学,1999, 13(3): 61-65.

[4]林福宗.多媒体技术基础[M].北京:清华大学出版社,2001: 89-123.

[5]卢秋波.视频监控技术简介与发展趋势[[J].电信网技术,2007, (1) 14-15.

[6]牛永琴,侯建军.基于SOPC的自动指纹识别系统设计与实现[[J].仪器仪表用户,2007, 6(14): 38-39.

[7]刘富强.数字视频监控系统开发及应用[M].北京:机械工业出版社, 2003:123-154.

[8]陈岚,唐志敏.单片系统(SoC)设计技术[[J].计算机研究与发展,2002,9 (1):50-54.

[9] Tam Do. FPGA和新技术使LCD进入HDTV市场[EB/OL] . Altera公司,2006 [2008-02-28].http: //www. ic37. com/document/133. html.

[10]刘德良,姚春莲,李炜,等.多分辨率图像实时采集系统的FPGA逻辑设计[J].电子技术应用,2003, (3):69-72.

[11]川青京宇.FPGA:机遇与挑战并存[J].世界电子元器件,2005, (04) : 12- 15.

[12]余佳.FPGA系统的设计与实现[[J].计算机与数字工程,2005, (O1) 11-17.

[13]王晓丽.可编程逻辑器件CPLD/FPGA的发展[[J].科技信息,2007,(28):20-22.

[14]李志刚,徐勇.Altera可编程逻辑器件综述[J].可编程控制器与工厂自动化,2007, (2):18-19.

[15]吴洪江.郑滨.SOC的现状与发展[[J].半导体情报,2001, 17 (4) : 64- 69.

[16]蒋昊.李哲英.基于多种EDA工具的FPGA设计流程[J].微计算机信息,2007,(32):223-225.

[17]王长宏,陈朝阳,邹雪城,等[J].电子工程师,2001, (12):Verilog HDL设计实例及其仿真与综合19-22.

[18]夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天出版社, 2003:65-70.

[19]张文.可编程逻辑器件的发展与应用[[J].大众科技,2006, (O1) : 8-11.

[20]DE2 Development and Education Board User Manual [21] ALTERA Corporation .Quartus II  Version 6.0 Handbook[EB/OL].2005[2007-11-17].http: //www. altera. com. cn/literature/rn/rn_qts_60sp l . pdf.

[22]裴吕幸,刘乃安.电视原理与现代电视系统[M].西安:西安电子科技大学出版社,1997: 56-78.

[23]刁鸣.电视接收机原理[M].北京:学苑出版社,1999: 56-78.

[24]谈新权,邓天平.视频技术基础[M].武汉:华中科技大学出版社, 2004: 56-68.

[25]史萍,倪世兰.广播电视技术概论[M].北京:中国广播电视出版社, 2003:114-125.

[26] ANALOG Device.ADV7181B Data Sheet. 2003:38-45.

[27]何立民.I2C总线应用系统设计[M].北京:北京航空航天大学出版社,2002:56-79.

[28]余小游,孙广富,卢焕章.数字图像预处理技术及其硬件实现[[J].电子技术应用,1997, (11):35-38.

[29] JUNJI Mori et al. 10 ns 54-54-b Paralle Structured Full Anal Multiplier with0.5-um CMOS Technology[J]. IEEE Journalof Solid State Circuits, 1991,26(4): 600-606.

[30] WOLF, Wayne Hendrix. FPGA-based System Design[J], Upper Saddle RiverNJ Prentice Hall PTR, 2004,5(2):11-17.

[31] CHUNG J Kuo, Ching Liao, Ching C Lin. Adaptive Interpolation Techniquesfor Scanning Rate Conversions[J].IEEE Trans. on Circuits and Systems forVideo Technology, 1996, 6(3):317-321.

[32] INTERFACE for Digital Component Video Signals in 525-line and 25-lineTelevision Systems Operating at the 4:2:2 Level of Recommendation ITU-RBT.601(PARTA)[S], ITU Organization,1998:54-60·

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值