element ui checkbox 复选框 选中其中一个后 其他的都不能被选中

这段代码展示了如何在Vue中利用`<el-checkbox-group>`组件创建一个复选框列表,并通过`v-model`双向绑定选中项。在`changeList`方法中,当'合同未指定'被选中时,会将其他所有选项设为禁用,反之则全部启用。
摘要由CSDN通过智能技术生成
<el-checkbox-group v-model="checkList" @change="changeList">
          <el-checkbox
            :disabled="item.disabled"
            :label="item.name"
            v-for="item in list"
            :key="item.name"
          ></el-checkbox>
        </el-checkbox-group>
data(){return{
    checkList: [],
      list: [
        { disabled: false, name: "GJB5000" },
        { disabled: false, name: "GJB9001" },
        { disabled: false, name: "CMMI" },
        { disabled: false, name: "合同未指定" },
      ],

}}

 


changeList(val) {
      this.list.forEach((item) => {
        item.disabled = false;
      });
      if (val.indexOf("合同未指定") != -1) {
        this.checkList = ["合同未指定"];
        this.list.forEach((item) => {
          if (item.name != "合同未指定") {
            item.disabled = true;
          }
        });
      }
    },

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值