SystemC学习(1)— SystemC安装与HelloWorld

SystemC学习(1)— SystemC安装与HelloWorld

一、前言

参考文档:
1、ubuntu系统安装systemc-2.3.4流程
2、SystemC语言学习笔记
3、SystemC资料2.ppt
4、SystemC入门笔记

二、安装包下载与安装

我们可以直接从官网下载SystemC的库安装包,SystemC官网地址:https://www.eda.org/downloads/standards/systemc
由于较高版本安装有些问题,所以我们选择systemc-2.3.3版本,如下所示选择下载:
在这里插入图片描述
下载好后解压:
在这里插入图片描述
然后我们需要新建一个安装目录:

mkdir /home/softs/systemc

然后进入解压后的目录,新建一个文件夹并进入:

cd systemc-2.3.3/
mkdir build && cd build

然后配置安装信息,指定安装目录:

../configure --prefix=/home/softs/systemc

然后使用如下命令进行编译和安装:

make -j 8
make install

然后在~/.bashrc添加如下内容设置环境变量

export SYSTEMC_HOME=/home/softs/systemc
export LD_LIBRARY_PATH=${SYSTEMC_HOME}/lib-linux64/:$LD_LIBRARY_PATH

如果是tcsh则添加如下内容设置设置环境变量

setenv SYSTEMC_HOME /home/softs/systemc
setenv LD_LIBRARY_PATH ${LD_LIBRARY_PATH}:${SYSTEMC_HOME}/lib-linux64

三、Hello测试

编写一个测试文件hello.cpp如下所示:

#ifndef _HELLO_H
#define _HELLO_H
#include "systemc.h"
SC_MODULE(hello)
{
    SC_CTOR(hello)
    {
        cout<<"Hello, SystemC!"<<endl;
    }
};
#endif
 
//main.cpp
int sc_main(int i, char* a[])
{
    hello h("hello");
    return 0;
}

使用如下命令进行编译:

g++ hello.cpp -I${SYSTEMC_HOME}/include/ -L${SYSTEMC_HOME}/lib-linux64 -o hello -lsystemc

然后使用如下命令执行:

./hello

然后可以成功看到打印:
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值