自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

做有挑战的事

记录并分享自身FPGA的学习经历

  • 博客(8)
  • 收藏
  • 关注

原创 vivado route_design error

vivado route_design error

2024-09-12 10:35:19 232

原创 FM调制解调---FPGA

fm调制解调——FPGA

2022-08-28 14:08:45 5171 3

原创 FM调制解调-matlab

FM调制解调——MATLAB

2022-08-27 23:11:24 6223 2

原创 2ASK调制解调

ask调制解调

2022-08-05 23:00:59 5816

原创 FPGA 定点数

FPGA定点数运算

2022-07-31 22:09:42 1761

原创 Verilog基础知识

Verilog基础知识

2022-07-03 21:12:47 3414 1

原创 AXI-DMA

AXI-DMA相关简介

2022-06-26 17:39:57 6458 1

原创 跨时钟域总结

总结记录关于亚稳态引起的跨时钟域相关处理方法。

2022-06-26 16:47:16 2722

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除