自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (2)
  • 收藏
  • 关注

原创 Questasim CentOS安装

1、linux端安装32位库;//因为centos8为64位linux系统,后续进行的crack操作需要用到32位的脚本2.linux端安装最新的redhat_lsb;如果执行step3时候linux反馈“filenofound”,则优先考虑是否是之前说的32位库没有安装。按下esc,输入“”号,再输入“wq”回车即可保存;1、输入下述内容,应用环境变量的变更。1、step1进行环境变量的设置。4、生成mentor.dat文件。文件放在指定安装目录下。,启动License。.........

2022-07-22 22:41:34 1093 2

原创 SystemVerilog 数据类型

SystemVerilog引入了一些新的数据类型:1、逻辑(logic)类型(只能有一个驱动)2、双状态数据类型 双状态,单比特 双状态,32bit,无符号数 双状态,32bit,有符号数 双状态,16bit,有符号数 双状态,64bit,有符号数 双状态,8bit,有符号数(-128~127) 四状态,32bit,有符号数 四状态,64bit,无符号数 双状态,双精度浮点数1、一维数组2、多维数组赋值方法:单引号加大括号3、合并数组既可以把它当成整体来访问,又可以分解成更小的

2022-07-09 15:06:14 866

原创 Linux组管理和权限管理

在Linux中的每个用户必须属于一个组,不能独立于组外;每个文件都有所有者、所在组、其他组的概念;文件的创建者即为文件的所有者;查看文件的所有者修改文件所有者使用root创建一个apple.txt,将其所有者改为tom组的创建创建一个组monster创建一个用户fox,并放入到monster组中当某个用户创建了一个文件后,该文件所在组就是该用户所在的组。修改文件所在组修改用户所在组权限的基本介绍显示的内容0-9位说明第0位:确定文件类型(d,-(普通文件),l,c,b)

2022-07-06 21:42:24 199

原创 Linux压缩和解压类指令

1、gzip/gunzip指令 压缩文件 解压文件2、zip/unzip指令(可压缩文件夹) 递归压缩,即压缩目录;例: 将/home下的所有文件/文件夹压缩成myhome.zip解压缩文件 指定解压后文件的存放目录例:将/home/myhome.zip解压到/opt/tmp目录下.........

2022-07-05 21:48:56 657

原创 Linux搜索查找类指令

1、find指令从指定目录向下递归地遍历其各个子目录,将满足条件的文件或目录显示在终端。-name 按指定文件名查找文件例:根据名称查找/home目录下的hello.txt文件-user 查找属于指定用户名的所有文件例:查找/opt目录,用户名为nobody的文件-size 按指定文件大小查找文件例:查找整个Linux系统下大于200M的文件(+n 大于,-n 小于,n 等于,单位有k,M,G)2、locate指令快速定位文件路径。locate指令利用事先建立的系统中所有文件名及路径的locate数

2022-07-05 20:48:09 336

原创 Linux帮助指令、文件目录类指令、时间日期类指令

帮助指令1、man 获得帮助信息如:查看ls命令的帮助信息 2、help指令文件目录类1、pwd 当前工作目录的绝对路径2、ls指令3、cd指令 回到家目录 回到上一级目录4、mkdir 创建目录创建一个目录 创建多级目录 5、删除目录6、创建空文件 touch7、cp指令拷贝文件到指定目录例:将/home/hello.txt拷贝到 /home/bbb目录下递归复制整个文件夹强制覆盖不提示8、rm指令移除文件或目录-r 递归删除整个文件夹-f 强制删除不提示9、mv指令

2022-07-05 15:46:18 137

原创 Linux用户管理

Linux系统是一个多用户多任务的操作系统,任何一个要使用系统资源的用户,都必须首先向系统管理员申请一个账号,然后以这个账号身份进入系统。删除用户1、删除用户,但保留家目录2、删除用户及目录设置密码注:显示当前用户所在的目录 查询当前用户信息切换用户1、高权限用户到低权限用户不需要输入密码,反之需要;2、返回到原来用户时,使用exit/logout指令。用户组对有共性(权限)的多个用户进行统一管理;1、新增组2、删除组3、增加用户并直接指定到组4、修改用

2022-07-04 17:51:05 278

原创 Linux关机重启、用户登录和注销

Linux关机&&重启命令注:建议关机和重启前运行sync命令用户登录和注销命令1、登录时尽量少使用root账号登录,因为它是系统管理员,最大的权限,避免操作失误;2、可以利用普通用户登录,登录号使用命令来切换成系统管理员身份;3、logout注销用户(该指令在图形界面运行级别无效,在运行级别3有效)。...

2022-07-04 16:24:41 1035

原创 Linux vi和vim编辑器

基本介绍1、Linux系统中内置vi文本编辑器;2、Vim可以看成是vi的增强版本。常用的三种模式1、正常模式默认模式,可以用【上下左右】移动光标,【复制、粘贴】操作数据;2、插入模式按下i,I,o,O,a,A,r,R可进入编辑模式,一般按i即可;3、命令行模式提供相关指令完成读取、存盘、替换等动作。模式之间的转换:快捷键......

2022-07-04 15:55:54 150

原创 Linux目录结构

基本介绍1、Linux文件系统是采用层级式的树状目录结构,根目录是“/”;2、在Linux的世界里:一切皆文件。具体地目录结构/bin (/usr/bin、/usr/local/bin)是Binary的缩写,存放经常使用的命令;/sbin (/usr/sbin、/usr/local/sbin)Super User的意思,存放系统管理员使用的系统管理程序;/home存放普通用户的主目录,Linux中每个用户都有自己的目录;/root该目录为系统管理员,也称为超级权限者的用户主目录;/lib系统开

2022-07-04 12:57:49 7195 1

原创 学习计划一览

- [ ] **SystemVerilog学习计划****视频:**1、[SystemVerilog for Verification](https://www.bilibili.com/video/BV1ei4y1x7tt?spm_id_from=333.1007.top_right_bar_window_default_collection.content.click&vd_source=ee9e7d45bfeebe738c77f1cf87588e55)2、[芯片验证V0系列课程](https://

2022-07-01 17:41:14 109

原创 matlab fvtool 滤波器频响

方法简介用matlab设计滤波器后,可以用fvtool来看滤波器的频响,比如根据滤波器的系数:fircoe = [0.018641, 0.018275,-0.020377,-0.071243,-0.049673,... 0.094562, 0.28841, 0.37949, 0.28841, 0.094562,-0.049673,... -0.071243,-0.020377, 0.018275, 0.018641];fvtool(fircoe);实验验证用Fdatool工具生

2022-04-14 23:21:44 2933

转载 气象风场反演算法

1,应用场景举例:气象监测,测量风速: 2,建模: 设激光波束与扫描圆锥中轴夹角为,激光波束聚焦点距离为R(即单波束测程);四个波束聚焦点以90度为间隔分布在扫描圆周上,且上方两波束聚焦点连线水平,下方两波束聚焦点也水平;上方或下方两波束所在平面与扫描圆锥中轴的夹角为a,上方或下方两波束之间夹角为b,扫描圆锥底圆半径为r;扫描圆锥底圆上垂直的两个半径构成直角三角形,其长边上的高为h。如图 90...

2021-08-19 16:00:12 985 1

原创 verilog 序列检测模块 task用法

检测“1001101010”序列module xlj( input clk, input rst_n, input signal, output reg valid ); reg [3:0] state;parameter IDLE = 0;parameter S1 = 1;parameter S2 = 2;parameter S3 = 3;parameter S4 = 4;parameter S5 = 5;parameter S6 = 6;parameter S7 = 7;

2021-07-29 15:41:03 387

原创 verilog 随机数的用法

1、$random % b表示(-b+1):(b-1)中的随机数2、{$random} % b表示 0:(b-1)中的随机数3、产生一个在min, max之间随机数rand = min+{$random}%(max-min+1);

2021-07-29 15:32:19 3786

原创 常用设计模块 verilog

1、延时模块module delay_sig #(parameter DELAY = 10)( input clk, input sig_i, output sig_o);reg [DELAY-1 : 0] sig;always @(posedge clk) begin sig <= {sig[DELAY-1-1:0],sig_i};endassign sig_o = sig[DELAY-1];endmodule

2021-07-14 15:13:22 223

原创 System Generator使用 数字信号处理(一)

System Generator是Xilinx公司进行数字信号处理开发的一种设计工具,嵌入了Xilinx的一些模块,可以在MATLAB中的Simulink中进行定点仿真,可以设置定点信号的类型等操作,可以直接生成HDL文件用于设计Xilinx的FPGA,ISE可以调用,VIVADO也可以调用。安装方法参考 https://zhuanlan.zhihu.com/p/330926863matlab仿真clc;clear all;clear all;%% system parameter N = 102

2021-07-03 21:48:09 677 1

原创 Verilog 串行FIR滤波器、并行FIR滤波器设计、FIR IP核实现

fpga设计使用MATLAB设计一个2kHz采样,500Hz截止的15阶低通滤波器(h(n)长度为16),量化位数为12bit,输入信号位宽也为12bit。根据FIR直接型结构可知,滤波器实际上就是一个乘累加运算,且乘累加的运算次数由滤波器的阶数来决定。由于FIR滤波器大多是具有线性相位的滤波器,即滤波器系数呈一定的对称性。串行FIR实现即串行实现滤波器的累加运算,每级延时单元与相应系数的乘积结果进行累加后输出,整个滤波器只需要一个乘法运算单元。全串行结构:对称系数的加法运算也由一个加法器串行实现

2021-06-04 22:32:33 4280 4

原创 计数器架构

计数器三要素——初值、加1条件和结束值always@(posedge clk or negedge rst_n)begin if(~rst_n) cnt <= 0; else if(加1条件:add_cnt)begin if(结束条件:end_cnt) cnt <= 0; else cnt <= cnt + 1; end endassign add_

2021-06-04 20:25:52 141

转载 逻辑仿真/形式验证/硬件仿真

随着硬件设计复杂性的不断增加,为了能够最大程度的使得验证收敛,验证方法也越来越多主要包含:逻辑仿真,形式验证,硬件仿真。一、逻辑仿真从我们整个芯片的验证过程来讲,基于软件的逻辑仿真在验证中仍然起着举足轻重的作用,整个项目中的功能验证都是通过逻辑仿真来进行验证。优点:逻辑仿真的工具可以在标准的服务器上运行,不需要为它定制特定的服务器,减少了验证成本;在模块级别的功能验证上,逻辑仿真工具的编译速度和仿真速度很快,这在一定程度上会加快我们整体项目的验证速度;工程师对逻辑仿真验证过程的进行有很好的控制

2021-05-26 21:01:01 1401

原创 verilog 二进制转BCD码

1、计算过程先将BCD码计数器清零,之后将二进制数和BCD码计数器统统左移,二进制数移出来的最高位放到BCD码计数器的最低位,如下表所示!每一次移位之后都判断下,BCD码计数器的十、分以及个位是否大于4,如果任何一位(4bit)大于4,则对其加3,之后继续移位,如此下去,直到移位次数为二进制数的位数之后,停止移位,此时得到的BCD码计数值便是转换后的值。2、实现module bin2bcd( input clk, input rst_n, input en, inp

2021-04-02 17:53:37 2555 14

原创 亚稳态与单比特、多比特信号跨时钟域传输

1、基础知识传播延迟(Tpd)从第一个触发器的输出,传播到第二个触发器的输入所花费的时间;解决高传播延迟的方法:1、降低时钟频率2、将逻辑分解为多个阶段(流水线)建立时间(Tsu,setup):在时钟上升沿到达之前,输入到触发器稳定所需的时间。保持时间(Th,hold):在时钟沿之后,输入到触发器稳定所需的最短时间。假设Tco表示触发器时钟有效到数据输出的时间;Tpd表示传播延迟,Tsu表示建立时间,不考虑时钟偏斜,那么最小时钟周期为:Tmin = Tco + Tpd + Tsu;2、

2021-04-01 21:51:40 1602

原创 verilog 同异步FIFO 格雷码

1、定义FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据, 其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。  FIFO一般用于不同时钟域之间的数据传输,比如FIFO的一端是AD数据采集, 另一端是计算机的PCI总线,假设其AD采集的速率为16位 100K SPS,那么每秒的数据量为100K×16bit=1.

2021-03-30 15:09:07 408

原创 verilog 分频电路设计 -- 奇偶分频、小数分频

1、奇偶分频module fre_div#(parameter DIV_NUM = 5)( input clk, input rst_n, output clk_div ); reg [3:0] cnt_div;wire clk_odd_div;reg clk_even_div;always @(posedge clk or negedge rst_n)begin if(~rst_n) cnt_div <= 4'd0; e

2021-03-27 16:05:25 926

原创 Linux基础操作(一)

1、Linux系统目录结构树状目录结构(1)/binbin是Binary的缩写,存放着最经常使用的命令;(2)/boot存放启动Linux时使用的一些核心文件,包括一些连接文件以及镜像文件;(3)/dev是Device的缩写,存放Linux的外部设备,在Linux中,访问外部设备和访问文件的方式是相同的;(4)/etc存放所有的系统管理所需要的配置文件和子目录;(5)/home用户的主目录,在Linux中,每个用户都有自己的目录,一般该目录名是以用户的账号命名的;(6)/lib存

2020-12-25 21:54:53 120

转载 创建用户

Linux 是一个可以实现多用户登录的操作系统,不同用户共享一些主机的资源,但他们也分别有自己的用户空间,用于存放各自的文件。但实际上他们的文件都是放在同一个物理磁盘上的甚至同一个逻辑分区或者目录里,由于 Linux 的用户管理和权限机制,不同用户不可以轻易地查看、修改彼此的文件。1、创建用户在 Linux 系统里, root 账户拥有整个系统至高无上的权限,比如新建和添加用户。root 权限,系统权限的一种,与 SYSTEM 权限可以理解成一个概念,但高于 Administrator 权限,root

2020-12-20 14:40:57 1130

原创 verilog FFT Vivado IP核实现

1、首先用matlab产生16bit二进制正弦信号,存入rom:%设置参数fi=5000;L=1024;N=16;fs=20000;%产生信号t=0:1/fs:(L-1)/fs;theta=rand()*2*pi;si=sin(2*pi*fi*t+theta);f_s=si/max(abs(si));Q_s=round(f_s*(2^(N-1)-1));fid=fopen('C:\Users\HLPC\Desktop\Sin.txt','w');for k=1:length(

2020-11-09 20:30:41 2432 14

转载 理解FFT算法

在本篇博客中,主要展示了一个长度为N=2^3序列的蝶形计算过程示例,帮助理解FTT算法的原理。 原始的DFT算法:   基于2时间抽取的FFT算法,对有限长序列不断进行奇偶抽取,直到分解成一系列长度等于2的短序列,只计算长度为2的短序列DFT变换。 基于2时间抽取的FFT算法,单次抽取算法。 由于,所以可以将公式(3)改写为 另外,由于,所以有 。我们只需要计算即可。   下面主要通过一个N=8的例子,通过三次抽取,...

2020-11-09 16:00:30 1170

转载 FIR滤波器

一、理想低通滤波器单位脉冲响应是什么样 在如何快速设计一个FIR滤波器(一)中,我们介绍了一种简单设计FIR的方法——零极点法。这个方法非常简单,稍加培训,用笔和纸就能完成;当然缺点也很显而易见:零极点设计出的滤波器,只能给出大概的频率响应,对于一些要求较高的系统,显得无能为力。今天我们介绍一种更加严谨的方法。 现在假设我们要设计一个低通滤波器,截止频率为  ,其理想频率响应可以用如下函数表示: 则该滤波器的脉冲响应为: 可见脉冲响应为一个sinc函数。画..

2020-11-09 16:00:19 21320 3

原创 Verilog matlab与FPGA文件的输入输出

1、产生FPGA仿真需要使用到的正弦波采样数据,10bit量化,以二进制形式写入文本:%设置参数fi=5000;L=1024;N=10;fs=20000;%产生信号t=0:1/fs:(L-1)/fs;theta=rand()*2*pi;si=sin(2*pi*fi*t+theta);f_s=si/max(abs(si)); %归一化Q_s=round(f_s*(2^(N-1)-1)); %10bit量化fid=fopen('C:\Users\HLPC\Desktop\

2020-11-07 22:10:39 3048 6

原创 Matlab产生常用信号

产生均匀分布的随机序列、高斯白噪声随机序列、方波信号序列、三角波信号序列、正弦波信号序列,以及信噪比SNR为10dB的加性高斯白噪声正弦信号。Ps=10; %信号功率10dBWPn=1; %噪声功率0dBWf=100; %信号频率fs=1000; %采样频率width=0.5;%函数sawtooth()尺度参数为0.5duty=50; %函数square()尺度参数为0.5%产生信号t=0:1/fs:1;c=2*pi*f*t;sq=square(c,duty);

2020-11-06 16:07:28 2487

原创 Verilog 状态机设计

Moore 型状态机Moore 型状态机的输出只与当前状态有关,与当前输入无关。输出会在一个完整的时钟周期内保持稳定,即使此时输入信号有变化,输出也不会变化。输入对输出的影响要到下一个时钟周期才能反映出来。这也是 Moore 型状态机的一个重要特点:输入与输出是隔离开来的。Mealy 型状态机Mealy 型状态机的输出,不仅与当前状态有关,还取决于当前的输入信号。Mealy 型状态机的输出是在输入信号变化以后立刻发生变化,且输入变化可能出现在任何状态的时钟周期内。因此,同种逻辑下,Mealy 型

2020-11-05 21:22:23 833 3

FFT Vivado IP核实现

FFT Vivado IP核实现

2022-03-14

test041603.m

雷达动目标检测,产生线性调频信号 ,产生目标回波串,脉冲压缩,MTD(动目标检测),区分不同速度的目标,有测速作用,利用FFT算法实现功率谱估计的MATLAB仿真

2020-04-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除