计数器架构

计数器三要素——初值、加1条件和结束值

always@(posedge clk or negedge rst_n)begin
    if(~rst_n)
        cnt <= 0;
    else if(加1条件:add_cnt)begin
        if(结束条件:end_cnt)
            cnt <= 0;
        else
            cnt <= cnt + 1;
    end        
end

assign add_cnt = (加1条件);
assign end_cnt = (加1条件) && cnt == 计数值 - 1;

如无特别说明,计数器的命名都要符合规范,加1条件的前缀为“add_”,结束条件的前缀为“end_”。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值