1065 A+B and C (64bit)

problem description

  Given three integers A, B and C in [−2​63,263], you are supposed to tell whether A+B>C.

题目描述

  给定[−2​63,263]中的三个整数A,B和C,你应该知道A B>C。

Input Specification:

  The first line of the input gives the positive number of test cases, T (≤10). Then T test cases follow, each consists of a single line containing three integers A, B and C, separated by single spaces.

输入格式:

  输入的第一行给出了测试用例的正数,T(≤10)。然后是T测试用例,每一行包含三个整数A、B和C,由单个空格隔开。

Output Specification:

  For each test case, output in one line Case #X: true if A+B>C, or Case #X: false otherwise, where X is the case number (starting from 1).

输出格式:

  对于每个测试用例,在一行中输出#X:true如果A+B>C,或用例X:false否则,其中X是用例编号(从1开始)。

Sample Input:
3
1 2 3
2 3 4
9223372036854775807 -9223372036854775808 0
输入样例:
3
1 2 3
2 3 4
9223372036854775807 -9223372036854775808 0
Sample Output:
Case #1: false
Case #2: true
Case #3: false
输出样例:
Case #1: false
Case #2: true
Case #3: false

思路
  由于 long long 的范围是 [-263, 263),因此题目中给出的两个整数相加有可能会溢出(正溢出或负溢出),直接进行大小判断会造成错误。在计算机组成原理中会指出,如果两个正数之和等于负数或是两个负数之和等于正数,那么就是溢出。
  ① 当 A + B ≥ 263 时,显然有 A + B > C 成立,但 A + B 会因超过 long long 的正向最大值而发生正溢出。由于题目给定的 A 和 B 最大均为 263 - 1 ,故 A + B 最大为 264 - 2 ,因此使用 long long 存储正溢出后的值的区间为 [-263, -2](由 ( 264 - 2) % (2 64) = -2 可得右边界)。所以,当 A > 0, B > 0, A + B < 0 时为正溢出,输出 true。
  ② 当 A + B < -263 时,显然有 A + B < C 成立,但 A + B 会因超过 long long 的负向最小值而发生负溢出。由于题目给定的 A 和 B 最小均为 -263,故 A + B 最小为 -264,因此使用 long long 存储负溢出后的值的区间为 [0, 263)(由 (-264) % 264 = 0 可得左边界)。所以,当 A < 0,B < 0,A + B ≥ 0 时为负溢出,输出 false。
  ③ 在没有溢出的情况下,当 A + B > C 时,输出 true;当 A + B ≤ C 时,输出 false。

注意
  ① 经测试,数据中并没有 A 或 B 取到 263 的情况,因此题目中的数据范围可能是写错了,应该是 [-263, 263) 才更符合数据,否则就要用带负数的大整数运算了(因为 long long 存储 263 时会自动变成 -263,无法区分左右边界)。
  ② A + B必须存放到 long long 型变量中才可与 C 进行比较,而不可以在 if 的条件中直接相加与 C 比较,否则会造成后两组数据错误。

代码:

#include <stdio.h>
int main() {
	int T, tcase = 1;
	scanf("%d", &T);
	while(T--) {
		long long a, b, c;
		scanf("%lld%lld%lld", &a, &b, &c);
		long long res = a + b; // res 存放 a + b 的结果 
		bool flag;
		if(a > 0 && b > 0 && res < 0) flag = true; // 正溢出为 true
		else if(a < 0 && b < 0 && res >= 0)  flag = false; // 负溢出为 false
		else if(res > c) flag = true; // 无溢出时, A + B > C时为 true
		else flag = false;
		if(flag == true) {
			printf("Case #%d: true\n", tcase++);
		} else {
			printf("Case #%d: false\n", tcase++);
		}
	}
	return 0;
}

结果:
在这里插入图片描述

好的,我可以为您提供一个简单的Verilog代码和Testbench来实现这个8位7功能算术逻辑部件。代码如下: ``` module alu( input [7:0] A, input [7:0] B, input Ci, input [2:0] op, output reg [7:0] D, output reg CF, output reg ZF ); reg [3:0] A_add, B_add; reg [4:0] A_sub, B_sub; reg [7:0] A_and, A_or, A_xor, A_nor; assign A_add = {1'b0, A}; assign B_add = {1'b0, B}; assign A_sub = {1'b0, ~A, 1'b1}; assign B_sub = {1'b0, B}; assign A_and = A & B; assign A_or = A | B; assign A_xor = A ^ B; assign A_nor = ~(A | B); always @(*) begin case (op) 3'b000: D = A_add + B_add + Ci; 3'b001: D = A_add + B_sub + Ci; 3'b010: D = A_add + B_add + Ci; 3'b011: D = A_add + B_sub - Ci; 3'b100: D = A_and; 3'b101: D = A_or; 3'b110: D = A_xor; 3'b111: D = A_nor; endcase CF = D[8]; ZF = (D == 0); end endmodule ``` Testbench模块: ``` module alu_tb; reg [7:0] A; reg [7:0] B; reg Ci; reg [2:0] op; wire [7:0] D; wire CF; wire ZF; alu dut( .A(A), .B(B), .Ci(Ci), .op(op), .D(D), .CF(CF), .ZF(ZF) ); initial begin $dumpfile("alu_tb.vcd"); $dumpvars(0, alu_tb); #1; // 功能控制: 000:A + B; 001:A – B; 010:A + B + Ci; 011:A - B - Ci; 100:A & B; 101:A # B; 110:A @ B; 111:A @ B; A = 8'b01010101; B = 8'b10101010; Ci = 0; op = 3'b000; #1; if (D !== 8'b00000000 || CF !== 1'b1 || ZF !== 1'b0) begin $display("ADD, ERROR"); end else begin $display("ADD, OK"); end op = 3'b001; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("SUB, ERROR"); end else begin $display("SUB, OK"); end op = 3'b010; Ci = 1; #1; if (D !== 8'b00000000 || CF !== 1'b1 || ZF !== 1'b0) begin $display("ADC, ERROR"); end else begin $display("ADC, OK"); end op = 3'b011; Ci = 1; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("SBC, ERROR"); end else begin $display("SBC, OK"); end op = 3'b100; #1; if (D !== 8'b00000000 || CF !== 1'b0 || ZF !== 1'b1) begin $display("AND, ERROR"); end else begin $display("AND, OK"); end op = 3'b101; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("OR, ERROR"); end else begin $display("OR, OK"); end op = 3'b110; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("XOR, ERROR"); end else begin $display("XOR, OK"); end op = 3'b111; #1; if (D !== 8'b00000000 || CF !== 1'b0 || ZF !== 1'b0) begin $display("NOR, ERROR"); end else begin $display("NOR, OK"); end #10; $finish; end endmodule ``` 在这个Testbench中我们进行了所有功能的测试,并通过比较输出结果和预期结果来判断是否正确。如果正确,输出“功能名称, OK”,否则输出“功能名称, ERROR”。其中,CF表示进位标识位,ZF表示零标识位。 希望这个代码能够帮到您,如果还有其他问题,请随时提出。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值