VIP开发与发布

一、概述

  • 对于会被经常复用的总线协议或者功能模块,可以针对其开发专用的验证IP(VIP)。
  • 对于总线VIP,需要master agent和slave agent,有时也需要Environment去构建多个主端对从端的验证环境。
  • VIP也需要对应的配置对象,即configuration object,同时也需要对应的接口。

二、主要的开发阶段

阶段1(定义)

  • 功能特性提取
  • 特性覆盖率创建及映射
  • VIP的架构

阶段2(VIP基本搭建)

  • driver、sequencer、monitor
  • 实现基本上的端到端的sequence

阶段3(完成monitor与scoreboard)

  • 完成monitor —— 100%实现(checkers,assertions)
  • 完成scoreboard —— 100%实现(数据完整性检查)
  • 在monitor中,完成监测到的transaction与function coverage实现映射。
  • 为映射更多的基本功能覆盖率,创建其它sequences。

阶段4(扩充test和sequence阶段)

  • 实现更多sequences,从而获得80%的功能覆盖率。

阶段5(完成标准)

  • sequence最终可以实现100%的功能覆盖率。
  • 回归测试结果和最终的总结报告。

验证方法

验证自己写的AHB的master agent和slave agent都没有问题,没有办法保证master或者slave都正确。
在这里插入图片描述
引入成熟的VIP放入到验证环境里面,类似于做反向。
在这里插入图片描述

把成熟的VIP的Master和自己的AHB的slave设置为Passive模式,连接两个验证模块。这样AHB的Master可以访问成熟的VIP的Slave,同时原有的test sequence library可以复用到AHB上面。这样就实现了用成熟VIP的测试序列去验证自己想要的Master。Passive模式下的Master可以monitor总线,也可以收集总线上的覆盖率,所以通过成熟的VIP的test和coverage来验证AHB的Master VIP。

在这里插入图片描述

接下来同样的道理,把成熟的Slave VIP和AHB的Master VIP设置为Passive模式,这样就可以使用成熟的test和coverage来验证AHB的Slave VIP。
在这里插入图片描述
最后把成熟的Master VIP和Slave VIP都设置为Passive模式,相当于把整个成熟环境都Passive掉,因为AHB的Master和Slave通过上面的方法都得到了验证,所以就可以进行AHB的Master对AHB的Slave的验证了。

在这里插入图片描述

三、VIP的发布

主要内容

VIP的源代码(可以选择加密,或者保留接口函数)

  • active模式包括:driver,sequencer和tests。
  • passive模式包括:monitor,用来做协议检查的assertion,接口的function coverage model。

特性列表以及对应的覆盖率列表

  • 保证与标准协议文档的主要特性对应。
  • 与对应组件的测试,功能覆盖点,协议assertion和scoreboard检查。

VIP文档

  • VIP的结构,具体的设计,用户指南,安装指令和覆盖率报告。

运行环境

  • 回归仿真步骤(文件、编译、仿真、结果)。
  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
基于UVM(通用验证方法)的APB(高级外设总线)VIP验证 IP)开发流程主要包括以下步骤: 1.需求分析:对APB VIP的功能需求进行分析和定义,包括支持的APB协议版本、功能覆盖范围等。 2.设计架构:根据需求分析,设计APB VIP的架构,包括功能模块划分、信号接口定义、状态机设计等。 3.编写源码:根据设计架构,使用SystemVerilog等验证语言编写APB VIP的源码,包括各个功能模块的实现和通信接口的定义。 4.验证环境搭建:使用UVM框架搭建APB VIP验证环境,包括搭建验证顶层模块、连接APB VIP和被测设计的接口、配置UVM环境等。 5.功能验证:利用验证环境完成APB VIP的功能验证,包括通过测试用例对APB VIP的各个功能进行验证验证结果的检查。 6.性能优化:在功能验证的基础上,对APB VIP的性能进行优化,包括检查负载容量、优化时序和增加并行度等。 7.集成验证:将APB VIP与其他验证组件进行集成验证,包括与其他IP、测试平台、仿真测试工具的联合验证等。 8.仿真调试:使用仿真工具对APB VIP进行调试,包括波形分析、断点调试等,以确保其功能正确性和稳定性。 9.文档撰写:编写APB VIP的技术文档和用户指南,包括源码说明、验证环境介绍、验证方法等,便于其他工程师使用和理解。 10.发布和维护:经过验证和测试,发布APB VIP验证工具库中,同时进行维护和更新,以适应新的需求和协议版本的变更。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值