Modelsim的使用——D触发器(过程详解)

启动软件进入的页面为: 

 一.创建文件,并且书写代码。

1. 选择File>New>Preject创建一个新工程。

2.创建文件

  • Create New File——使用源文件编辑器创建一个新的VerilogVHDLTCL或文本文件
  • Add Existing File——添加一个已存在的文件
  • Create Simulation——创建指定源文件和仿真选项的仿真配置
  • Create New Folder——创建一个新的组织文件夹

注意:选择的时候选择Verilog

 

3.双击打开.v文件进行编辑。

a.编辑电路定义的文件,写完之后Ctrl+s保存

代码如下:


module dff(din,clk,q);
	input din,clk;
	output q;
	reg q;
	always@(posedge clk)
	q<=din;

endmodule

 

b.写测试模块文件

在刚才的目录下,右键Project>Add to Project>New File创建新的文件。准备写testbench代码。

同样注意文件类型为Verilog,双击文件书写代码,并进行保存

 

代码如下:

module dff_tb;
	reg clk,data_in;
	wire data_out;
	dff u1(data_in,clk,data_out);
	always #5 clk=~clk;
	initial
	begin
		clk=0;
		#20 data_in=1;
		#20 data_in=0;
		#20 data_in=1;
		#15 data_in=0;
		#15 data_in=1;
	end
endmodule

 二.编译文件

1.编译之前

两个问号代表没有编译

2.进行编译

选择欲编译文件,右键选择Compile<Compile ALL,或者右击Project标签,选择Compile>Compile All(编译正确后显示对勾)

 

三. 仿真工程

1.开始仿真

a. 点击菜单栏的,中的。弹出一个窗口选择work,单击+以展开选项,然后选择testbench文件dff_tb。然后取消最下面的对勾 。

b.出现下面界面时,选择dff_tb右键Add Wave

c.再点击run加载波形。完成。

 d.点击加号让波形图放大。

  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值